打印
[FPGA]

求助verilog编写实现AXIStream-FIFO功能思路

[复制链接]
1956|0
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
ZHLEzuiqiang|  楼主 | 2014-2-21 15:57 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
各位大神,有没有用过AXIStream-FIFO IP core的或不用core直接用verilog实现过AXIStream-FIFO功能的,我现在FPGA入门练习,要用verilog实现
AXI Stream的异步FIFO
1、读写不同的时钟,设一个100M,另一个333M
2、读写不同的位宽,设写为8bit,读为32bit
3、fifo深度为32
4、控制信号没有empty、full,改为valid、last、ready等
5、可用block ram实现
6、读写接口分别为AXI stream master和slave
我看了Xilinx的FIFO generator的datasheet不是很清楚时序图,能不能讲解一下编程的思路,贴出你们的时序图

相关帖子

发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

3

主题

10

帖子

0

粉丝