21ic电子技术开发论坛 单片机与嵌入式系统 FPGA论坛 一个关于状态机的小问题
发新帖我要提问
返回列表
打印

一个关于状态机的小问题

[复制链接]
826|5
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
JokerLone|  楼主 | 2014-2-24 12:01 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
沙发
52414133| | 2014-2-24 14:13 | 只看该作者
坐等

使用特权

评论回复
板凳
小嘿| | 2014-2-24 14:31 | 只看该作者
case next_state 状态输出会比 case current_state 提前一个clk

使用特权

评论回复
地板
JokerLone|  楼主 | 2014-2-24 15:08 | 只看该作者
小嘿 发表于 2014-2-24 14:31
case next_state 状态输出会比 case current_state 提前一个clk

那是不是说,我直接把current_state换成next_state的话,逻辑上也是对的?ps:您头像太帅了。。

使用特权

评论回复
5
liudanwei| | 2014-2-24 16:42 | 只看该作者
学习学习。

使用特权

评论回复
6
mhanchen| | 2014-2-24 21:15 | 只看该作者
你要搞清楚你的状态跳转是根据现态还是次态判断?你的输出是和你的现态有关还是次态有关?搞清这两个问题,你的三段式状态机就可以写出来了

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

9

主题

27

帖子

0

粉丝
关闭 热门推荐
快速回复 在线客服 返回列表 返回顶部