21ic电子技术开发论坛 单片机与嵌入式系统 FPGA论坛 3个if then 连续 执行顺序是怎么样的啊
发新帖我要提问
返回列表
打印
[FPGA]

3个if then 连续 执行顺序是怎么样的啊

[复制链接]
1041|3
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
Death格雷尔|  楼主 | 2014-3-23 18:20 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
ev, dc, AN
if clk1'event and clk1='1' then   ;本语句实现clk1对计量模块的驱动
    表达式1   
      if s='0' then  
         表达式2
    w<=0;en1<='0';en0<='0';m1<="0000";m0<="0000";k1<="0000";k0<="0000";            
     elsif stop='1' then           ;等待计时开始标志      
       if w=59 then w<=0;                                    
         if m0="1001" then m0<="0000";                          
           if m1="0101" then m1<="0000";           
           else m1<=m1+1;         
           end if;         
         else m0<=m0+1;         
         end if;                             ;完成等待计时         
         if m1&m0>"00000001"then en1<='1';   ;等待时间大于2min输出使能               
         else en1<='0';                           信号en1         
         end if;        
       else w<=w+1;en1<='0';   (s=1和stop=0)   
       end if;

这个是怎么执行的啊  等待时间怎么是2min呢  不知道是怎么算出来的  请大家帮我看一下

相关帖子

沙发
zhaojingzb| | 2014-3-24 08:46 | 只看该作者
下面的几个条件并行了,这个要看工具综合结果了,一般的话是进最后一个满足条件的

使用特权

评论回复
板凳
Death格雷尔|  楼主 | 2014-3-25 11:43 | 只看该作者
zhaojingzb 发表于 2014-3-24 08:46
下面的几个条件并行了,这个要看工具综合结果了,一般的话是进最后一个满足条件的 ...

哦 谢谢  请问 您知道2分钟是怎么算出来的吗   我怎么看都觉得是60S  看不太明白

使用特权

评论回复
地板
EDAbuffalo| | 2014-5-27 21:05 | 只看该作者
我觉得你至少把时钟信号的频率给出来啊,要不怎么算

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

9

主题

27

帖子

1

粉丝
关闭 热门推荐
快速回复 在线客服 返回列表 返回顶部