打印

reg型数组的初始化配置问题

[复制链接]
1171|3
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
supers001|  楼主 | 2014-4-3 17:27 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
各位好,我的Verilog代码里用到 reg [15:0] Data_org [68:0];这样的寄存器组,我想在Reset里全置0,该 怎么办呢,不会得一个值一个值 的配吧,用 for循环可以吗?

相关帖子

沙发
luyaker| | 2014-4-3 19:03 | 只看该作者
仅供参考
module test(rst,clk,ek,lk);
input rst,clk;
input [15:0] ek;
output reg [15:0] lk;

reg [15:0] Data_org [68:0];
reg [15:0] cnt;
generate
  genvar i; //generate 69 instance
  for(i=0; i<69; i=i+1) begin: modulename  
                        always@(posedge clk or posedge rst)
                        if(rst)
                        Data_org[i]<=16'h0;
                        else
                                Data_org[i]<=ek+i;
         end
endgenerate

always@(posedge clk or posedge rst)
if(rst)
        cnt<=16'd0;
else
        cnt<=cnt+1'b1;
       
always@(posedge clk or posedge rst)
if(rst)
        lk<=16'd0;
else
        lk<=Data_org[cnt];
       
endmodule

使用特权

评论回复
板凳
蓝色风暴@FPGA| | 2014-4-3 20:29 | 只看该作者
如果是altera,所有节点上电init的时候就为0了

使用特权

评论回复
地板
痴小吃| | 2014-4-4 17:53 | 只看该作者
不对。

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

23

主题

37

帖子

0

粉丝