打印
[Quartus]

大神们这VHDL的流水灯怎么就不行呢

[复制链接]
1086|2
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
simble|  楼主 | 2014-4-25 20:31 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
代码如下:
LIBRARY ieee;
   USE ieee.std_logic_1164.all;
   USE ieee.std_logic_unsigned.all;

ENTITY light IS
   PORT (
      clk  : IN STD_LOGIC;
      led  : OUT STD_LOGIC_VECTOR(7 DOWNTO 0)
   );
END light;
ARCHITECTURE trans OF light IS
signal sel :std_LOGIC_VECTOR(2 downto 0);
signal num :std_LOGIC_VECTOR(7 downto 0);
begin
process(clk)
begin

if(clk'event and clk='1')then
sel<=sel+'1';
if(sel<="111") then
sel<="000";
num<=num+'1';
end if;
end if;
end process;
process (num)
begin
case num is
when "00000000"=>led<="10000000";
when "00000001"=>led<="01000000";
when "00000010"=>led<="00100000";
when "00000011"=>led<="00010000";
when "00000100"=>led<="00001000";
when "00000101"=>led<="00000100";
when "00000110"=>led<="00000010";
when "00000111"=>led<="00000001";
when others =>null;
end case;
end process;
end trans;
谁帮我看看啊!

相关帖子

沙发
gaochy1126| | 2014-4-29 23:25 | 只看该作者
num要限制

使用特权

评论回复
板凳
gaochy1126| | 2014-4-29 23:25 | 只看该作者
num>00000111  num重新发赋值的

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

3

主题

6

帖子

0

粉丝