打印
[Verilog HDL]

正弦波信号发生器问题VHDL

[复制链接]
1125|1
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主

SCLK信号                            给DA的连续数据                   给DA的数据展开
部分程序:
gen_address:
process(clk_rd)
begin
  if rising_edge(clk_rd) then
   Q1<=Q1+1;
   data1<="00000000" &data2;--这里是读取ROM里边的数据,将高8位清0后,连续送给DA  64个正弦数据正验证也是正确,但DA输出为0。
   --data1<=X"09b2";--这个输出2V电压是正确的
   --data1<="0000100110110010";--这个输出2V电压是正确的
  end if;
end process;
end behave;

DA用的是DAC7512.我程序的思想是,将正弦信号的64个数据存放在ROM 核内,连续调用64个数据给DA,产生正弦波。

给DA的数据2.jpg (574.31 KB )

给DA的数据2.jpg

相关帖子

沙发
gaochy1126| | 2014-6-19 00:24 | 只看该作者
楼主要表达什么呢

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

126

主题

393

帖子

2

粉丝