打印

CCS3.3下断点设置经验

[复制链接]
851|1
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
u880|  楼主 | 2014-7-15 08:14 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式

经验1:如果在CCS3.3下仿真时断点无法设置。你需要检查一下你的工程配置了。
打开Build Optins,在Complier对话框下的Basic中,将Generate Debug设置成Full Sumbolic Debug(-g)即可。

相关帖子

沙发
u880|  楼主 | 2014-7-15 08:14 | 只看该作者
2、在ccs3.3环境下,程序在FLASH中调试。系统最多只能设置两个断点。如果在程序段中就不能设置断点。
通过设置CCS3.3中的Option下的Customize。接着选中“Program/Project/CIO”,子菜单中勾选Do Not Set CIO Breakpoint AT……和Do Not Set End of Program Breakpoint AT……。点击确定即可。 即可在flash中调试设置断点了。

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

252

主题

1795

帖子

3

粉丝