打印
[其它应用]

steck overeflow

[复制链接]
792|11
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
wangpe|  楼主 | 2014-8-2 11:07 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
单步进入出现steck overeflow是咋回事
沙发
wangpe|  楼主 | 2014-8-2 11:08 | 只看该作者
编辑、编译、下载完成后没有错误提示,但是一按单步进入,系统就出了那个提示了

使用特权

评论回复
板凳
wangzsa| | 2014-8-2 11:09 | 只看该作者
看看仿真器的CPLD&MCU是不是有最新版本

使用特权

评论回复
地板
zhuww| | 2014-8-2 11:10 | 只看该作者
存储器显示什么呢?

使用特权

评论回复
5
wangpe|  楼主 | 2014-8-2 11:11 | 只看该作者
全部显示为0

使用特权

评论回复
6
wangpe|  楼主 | 2014-8-2 11:13 | 只看该作者
怎么看最新版本呢?

使用特权

评论回复
7
zhuww| | 2014-8-2 11:14 | 只看该作者
连接仿真器到电脑后,可能通过仿真软件界面的帮助菜单下的ABOUT...查看

使用特权

评论回复
8
wangpe|  楼主 | 2014-8-2 11:15 | 只看该作者
不是这个原因吧

使用特权

评论回复
9
zhanglli| | 2014-8-2 11:18 | 只看该作者
按照要求,根据EVB使用说明来设置下不就行了

使用特权

评论回复
10
guoyt| | 2014-8-2 11:19 | 只看该作者
evb设置不对,需要看着EVB使用说明来设置

使用特权

评论回复
11
lium| | 2014-8-2 11:22 | 只看该作者
那个overflow多个e..

使用特权

评论回复
12
wangpe|  楼主 | 2014-8-2 11:23 | 只看该作者
嗯,多谢各位指点迷津

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

938

主题

9837

帖子

2

粉丝