打印
[verilog]

请教双向端口顶层与底层连接的逻辑设计

[复制链接]
924|2
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主

功能:分别选择一个模块,数据打通,选择另一个模块,另一个数据连通。

请教,这个功能如何用verilog语言实现呢?

我这样写可以吗?如下:
input choose;
assign data  = choose    ? data1 : data2;
assign data1 = choose    ? data  : 0    ;
assign data2 = (!choose) ? data  : 0    ;

谢谢!


相关帖子

沙发
zwp0822| | 2014-9-18 23:12 | 只看该作者
你的“控制逻辑”就是一个多路器,只要第一条语句assign data  = choose    ? data1 : data2;就行了,后面2句删掉

使用特权

评论回复
评分
参与人数 1威望 +3 收起 理由
502593045 + 3 赞一个!
板凳
zwp0822| | 2014-9-18 23:14 | 只看该作者
从图上看你的信号是双向的,还应该有个三态控制

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

21

主题

54

帖子

2

粉丝