打印
[FPGA]

如何减少组合逻辑资源占用?

[复制链接]
888|2
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
jing880311|  楼主 | 2014-11-10 13:42 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
沙发
drentsi| | 2014-11-10 16:36 | 只看该作者
一句两句讲不清楚,讲清楚又够写一本书,没那时间,你慢慢研究体会

使用特权

评论回复
板凳
雪夜虫子| | 2014-11-11 11:32 | 只看该作者
需要结合具体的功能、器件资源来说。空谈无用。
厂商应该都有一些讲资源优化的app文档,可以去找找。

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

39

主题

73

帖子

0

粉丝