打印
[verilog]

Verilog串口通信问题

[复制链接]
1736|6
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
892953881|  楼主 | 2014-12-20 22:10 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
用Verilog串口通信,怎么写可以一次性发送一串数组.比如按一下按键键,通过串口给PC发送11 22 33 44 55这个数组。我已经写好了2个子模块,假设用我这2个模块请问各位大神怎么实现按一次按键,发送上面这串数组,我是菜鸟,请各位大神帮帮忙!!!最好是能帮我写一下如何实现的代码,谢谢各位了!!!
key   anjian                //实例化按键输入检测模块
                                                    (.clk(clk),   //系统时钟
                                                    .rst(rst),     //复位
                                                    .key(key),      //按键      
                                                    .key_flag(key_flag)        //输出按键标志位
                                                    );

UartSend         TX(                                     //串口发送模块 验证过是OK的
              .sys_clk(clk),
              .sys_rst_n(rst),
              .datain_tx(data_tx),                     //待发送的数据接口 即11 22 33 44 55
                .  key_flag(key_flag),                //按键标志位
              .uart_txd(uart_tx)                        //串口发送的输出接口
              );

相关帖子

沙发
892953881|  楼主 | 2014-12-21 12:22 | 只看该作者
不能沉啊!求大婶

使用特权

评论回复
板凳
892953881|  楼主 | 2014-12-22 19:34 | 只看该作者
大神呢

使用特权

评论回复
地板
玄德| | 2014-12-23 11:27 | 只看该作者

有按键 ->  启动第一次发送 ->  等待发送结束 ->  填充新数据 -> 再次发送、再次等待。。。。

uart_txd有发送结束标志吗?看不到。

你这工程,需要修改、搭建的东西太多,难怪没人说话。

使用特权

评论回复
5
892953881|  楼主 | 2014-12-23 22:52 | 只看该作者
玄德 发表于 2014-12-23 11:27
有按键 ->  启动第一次发送 ->  等待发送结束 ->  填充新数据 -> 再次发送、再次等待。。。。

uart_txd有 ...

谢谢!!!起码我知道这个方向了

使用特权

评论回复
6
bitshiyan| | 2014-12-30 09:20 | 只看该作者
可以用单多字节收发状态机实现,定义好 标志位和按键信号。。这样应该比较简单。。。。

使用特权

评论回复
7
littbi| | 2017-12-17 11:24 | 只看该作者
设置一个发送使能,将按键和发送使能相连,按下的时候使能打开,开始发送,不过考虑时序的原因,你应该在加个波特率,让你的板子和pc可以同步起来,否则还是发送不了。

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

13

主题

42

帖子

2

粉丝