打印
[FPGA]

FPGA仿真解决方案 Aldec Active-HDL.v10.1.Win32_64 2CD

[复制链接]
742|0
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
jjzzttsoft|  楼主 | 2014-12-25 10:20 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
FPGA仿真解决方案   Aldec Active-HDL.v10.1.Win32_64 2CD
PTC Creo Elements Pro 5.0 M250 Win32_64-ISO 2DVD
寄生參數萃取 Mentor.Graphics.Calibre.2014.4.Linux 1DVD
非线性电子电路仿真 Sidelinesoft NL5 Circuit Simulator 2.0.4 1CD      
            Active-HDL是一个整合一站式的FPGA设计认证仿真方案Active-HDL可以支持几乎所有的FPGA/CPLD厂商的产品,设计输入可以原理图或硬件描述语言或有限状态机 方式,设计门数大于10万门以上,集成系统环境,多层设计输入方式,易学易用。QQ:16264558      TEL:13963782271   同时支持支持VHDL, Verilog, SystemCx99,EDIF。提供了HDL设计从设计输入到器件实现一系列流程集中化管理。

相关帖子

发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

11

主题

11

帖子

0

粉丝