我在QuartusII下编译以下程序:<br /><br />-- 工程名称:ADDER_CTRL.qpf<br />-- 文件名称:ADDER_CTRL.vhd<br />-- 带控制端口的加法器<br />-- ┌──────┐<br />-- in1>──┤ │<br />-- │ adder ├──> pout<br />-- in2>──┤ │<br />-- └──┬───┘ <br />-- cnt1 >────┘<br />-------------------------------<br />-- library include<br />-------------------------------<br />library ieee;<br />library work;<br />use ieee.std_logic_1164.all;<br />use ieee.std_logic_arith.all;<br />USE work.all;<br /><br />entity adder_ctrl is<br />port(<br /> in1 : in bit_vector(0 to 3);<br /> in2 : in bit_vector(0 to 3);<br /> cnt1: in bit;<br /> pout: out bit_vector(0 to 3));<br />end adder_ctrl;<br /><br />architecture func of adder_ctrl is<br />begin<br /> process(cnt1)<br /> begin<br /> if(cnt1='1')then<br /> pout <= in1 + in2;<br /> end if;<br /> end process;<br />end func;<br /><br />编译时出现以下错误:<br />Error: VHDL error at adder_ctrl.vhd(34): <br />can't determine definition of operator ""+"" -- found 0 possible definitions<br />不知道错在哪里。 |
|