小盒子的小盒 https://bbs.21ic.com/?250499 [收藏] [复制] [RSS] 知道的越多不知道的越多

heyunhuan

精华达人奖章' 技术新星奖章' 

统计信息

已有 6 人来访过

  • 积分: 2029
  • 威望: 48
  • 可用分: 261
  • 巡查: --
  • 老论坛积分: 1981
  • 邀请积分: --
  • 推广积分: --
  • 好友: 8
  • 主题: --
  • 日志: 7
  • 相册: --
  • 分享: --

    现在还没有相册

    现在还没有记录

  • 暂无资料项或无权查看

查看全部个人资料

    现在还没有动态

现在还没有分享

2015-01-05 21:29PM 2015-01-05
已有7年+没登录21IC了,居然还为我保存用户和密码。感谢21IC,给你们赞一个。
(309)次阅读|(0)个评论
uc/os-ii第一章第1.03节 2006-12-22
1.03全局变量     以下是如何定义全局变量。众所周知,全局变量应该是得到内存分配且可以被其他模块通过C语言中extern关键字调用 ...
(1207)次阅读|(0)个评论
uc/os-ii电子资料之第一章第1节 2006-12-22
在这一章里将提供三个范例来说明如何使用 µ C/OS-II。笔者之所以在本书一开始就写这一章是为了让读者尽快开始使用 µ C/OS-II。在开始讲述这些例子之 ...
(695)次阅读|(0)个评论
光棍节[转发] 2006-11-13
白天没水,晚上没电,发的工资只够买面.打开邓选,找到答案:原来是社会主义初级阶段.再往后翻,我靠!还一百年不变! 好想有个太太,为我烧菜做饭。 现实却很 ...
(440)次阅读|(0)个评论
去耦电容在集成电路电源和地之间的作用: 2006-10-28
一方面是本集成电路的蓄能电容; 另一方面旁路掉该器件的高频噪声。 数字电路中典型的去耦电容值是0.1μF。这个电容的分布电感的典型值是5μH。0.1μF的去 ...
(1368)次阅读|(0)个评论
电容基本知识 2006-06-02
电容是电子设备中大量使用的电子元件之一,广泛应用于隔直,耦合, 旁路,滤波,调谐回路, 能量转换,控制电路等方面。 &n ...
(1084)次阅读|(0)个评论

查看更多

现在还没有主题

现在还没有留言

最近访客

现在还没有访客

IC Bar

现在还没有群组