Image
Image

auth1976

+ 关注

粉丝 0     |     主题 1     |     回帖 19

运放电源问题。
2013-8-27 20:04
  • 新手园地
  • 13
  • 1407
  这个是为了减轻电源波动对输出的影响,相当于提高了运放的PSRR.  
无刷电机控制相关的若干问题
2013-8-9 23:34
  • 电子技术交流论坛
  • 9
  • 1894
  1、速度 + 电流 2、总电流  
请教高手关于运放电路的问题。
2013-8-12 10:06
  • 电子技术交流论坛
  • 19
  • 3031
  看看共模输入范围,你的超了。  
请教高速运放AD8000的一个电路
2013-8-5 20:23
  • 模拟技术论坛
  • 7
  • 1446
  输出不为0, 直流信号输出为0; 高频时为一个反向比列放大。 中间频段可以看成一个反向比例放大和同相放大 ...  
一个基本概念测试题
2015-5-5 22:47
  • 模拟技术论坛
  • 141
  • 13809
  楼主,你将电容的初始条件设为0V,1V,分别看看。  
请问学做上位机vb.vc.labview哪种简单易学些?
2014-1-25 13:49
  • 侃单片机论坛
  • 18
  • 9079
  个人感觉使用难度 : labview < vb  
关于位数据的写法
2013-7-31 00:02
  • FPGA论坛
  • 2
  • 1161
  s: in std_logic_vector(15 downto 0); signal t: std_logicvector(15 dwonto 0); signal p: integer range ...  
存储问题
2013-11-8 21:14
  • FPGA论坛
  • 10
  • 1287
  1k的内部解决就完了  
这个问题之前看到有人发帖子问过的,没人回答,今天我贴出来大家看看能不能回答。
2013-7-28 19:20
  • 模拟技术论坛
  • 11
  • 1784
  电荷泻放的问题。开始有静电累积,万用表内阻很大,不能放完,故有读数。接地后泻放完,电压为0 ...  
请教一个4~20mA输出电路
2017-4-14 15:04
  • 模拟技术论坛
  • 47
  • 9266
  这个电流源的内阻充其量不过几十k,严重影响控制精度? 好像还真不是  
AD前端处理,急!
2013-8-3 14:22
  • 模拟技术论坛
  • 27
  • 4039
  两级比较好,顺便把低通滤波做上。  
2
3
近期访客