问答

汇集网友智慧,解决技术难题

21ic问答首页 - TAG - vi
  • GD32F103RCT6移植FreeRTOS,配置串口2的NVIC系统直接死机 sos

    static rcu_periph_enum UART_GPIO_CLK[UARTn] = {RCU_GPIOA,RCU_GPIOA,RCU_GPIOB,RCU_GPIOC}; static rcu_periph_enum UART_CLK[UARTn] = {RCU_USART0,RCU_USART1,RCU_USART2,RCU_UART3}; static uint32_t UART_GPIO_PORT[UARTn] = {GPIOA,GPIOA,GPIOB,GPIOC}; static uint32_t UART_TX_PIN[UARTn] = {GPIO_PIN_9,GPIO_PIN_2,GPIO_PIN_10,GPIO_PIN_10}; static uint32_t UART_RX_PIN[UARTn] = {GPIO_PIN_10,GPIO_PIN_3,GPIO_PIN_11,GPIO_PIN_11}; static uint8_t UART_NVIC[UARTn]={USART0_IRQn,USART1_IRQn,USART2_IRQn,UART3_IRQn,UART4_IRQn}; static uint8_t UART_PRE_PRIORITY[UARTn]={2,4,3,5,6}; 串口初始化调用// USART_Init(USART1,115200); // USART_Init(USART0,115200); USART_Init(USART2,115200); // USART_Init(UART3,115200); // USART_Init(UART4,115200); 串口初始化函数 void USART_Init(uint32_t com,uint32_t baud) { USART_Config(com,baud); } void USART_Config(uint32_t com,uint32_t baud) { uint32_t com_id = 0U; if(com==USART0)com_id=0U; else if(com==USART1)com_id=1U; else if(com==USART2)com_id=2U; else if(com==UART3)com_id=3U; else if(com==UART4)com_id=4U; /* configure COM */ if(com_id==4U){ rcu_periph_clock_enable(RCU_GPIOC); rcu_periph_clock_enable(RCU_GPIOD); rcu_periph_clock_enable(RCU_UART4); gpio_init(GPIOC, GPIO_MODE_AF_PP, GPIO_OSPEED_50MHZ, GPIO_PIN_12); gpio_init(GPIOD, GPIO_MODE_IN_FLOATING, GPIO_OSPEED_50MHZ, GPIO_PIN_2); }else{ rcu_periph_clock_enable(UART_GPIO_CLK[com_id]); rcu_periph_clock_enable(UART_CLK[com_id]); gpio_init(UART_GPIO_PORT[com_id], GPIO_MODE_AF_PP, GPIO_OSPEED_50MHZ, UART_TX_PIN[com_id]); gpio_init(UART_GPIO_PORT[com_id], GPIO_MODE_IN_FLOATING, GPIO_OSPEED_50MHZ, UART_RX_PIN[com_id]); } usart_deinit(com); usart_baudrate_set(com, baud); usart_word_length_set(com, USART_WL_8BIT); usart_stop_bit_set(com, USART_STB_1BIT); usart_parity_config(com, USART_PM_NONE); usart_hardware_flow_rts_config(com, USART_RTS_DISABLE); usart_hardware_flow_cts_config(com, USART_CTS_DISABLE); usart_receive_config(com, USART_RECEIVE_ENABLE); usart_transmit_config(com, USART_TRANSMIT_ENABLE); usart_enable(com); /* USART interrupt configuration */ nvic_irq_enable(UART_NVIC[com_id],UART_PRE_PRIORITY[com_id],0); /* enable USART RBNE interrupt */ usart_interrupt_enable(com, USART_INT_RBNE); } 串口2只要调用nvic_irq_enable(UART_NVIC[com_id],UART_PRE_PRIORITY[com_id],0);函数,系统直接死机,而其他串口调用都正常

    单片机 国产芯片 ic 串口 vi rc

    1268浏览量 0回复量 关注量
  • AT32F421定时器3和15启用中断就进入Infinite_Loop

    [img]data:image/png;base64,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***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***Hz+P05m/+IPmm2cz832fHEimxubbKVy6dV7lJUJedMKwAdgAbAA2sHVC9bWvfc38yrP3zdf9wM+YP/3hT1tS9Wc+8T+LnipHpOKeUuleU/Z8GbOYz43bi8qf48fsy+6O3o3bXUJFJC0SIkeMBpC2T36vmX3rD5rP5MjMWGJUzOfI22CCltPNh33m499gEhJYSSu9TWPu0RmiM4QNwAZgA7CBVWxg64Tqj/61Txr6fMvf/oz5/k/8O/OnPvxp8867/9eUSJUx1/58PkeqUkJFcXMTwsTxMi5fPm53CRV7UsZ5v4iQzL7/9eiVWiMh+aUnIFSrvIjIi44cNgAbgA3stw1snVB94rP/1chPi1Sxs8n4nR2hoJYAACAASURBVM8DeaKI6wszXywNHzbDhyHbNJW4SQkVeYVyU2wrkZkcoXJhHyHSpMqzRKri2frE90evV+pRKsskr08pX768bzAf+UVPCL1Xi/VMy2TS2L1WPVQ1mcW4cv3Qke13R4b2Q/vBBmAD27aBrROqv/tz/8Xoz3d/7BfMX7z1rw1NB+oF6jVCZQmUZ1jXF3NLrpYX7jy/Wtx0hGoWPUJ2UBekYi2ESpRniVw6HVj3UOU8SkQ46jLLnqicPCJJjsTEtWX03A+XIqHS2MpneU+YJ8+qfiJu2y8iysdgABuADcAG9tsGtk6ofuRn/qORn7/yU//W/Mm//rPmP/3qF+2v/4jsSFLVh1BZMiWIFR2QzIQqFzcdoZKEpkQwup6Y9pofTUoyRMWSA1n+L5hxhErIyMgcTKisjOgRc16q1QhVrl7kPSPPVy2uS+5iG6Ej2++ODO2H9oMNwAa2bQNbJ1S8huobb/4r850f/XnzJzyZ+ks/8xvZhek1QmWn/ObOI+XSiXVWNOVXiAOhYpKniNsUhKq2ML7htSt5qGqkqRYHQoUOd9sdLsqHDcIGDtcGtk6oSIGnL37HfN0PfMr88R90nqkP***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**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**uRNHALskt7jnkd+65b6ptuC1VHkUAACACBfUZgDwlV3FNK7i**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[/img] /** ****************************************************************************** * File : main.c * Version: V1.2.4 ****************************************************************************** */ /* Includes ------------------------------------------------------------------*/ #include "at32f4xx.h" #include "at32_board.h" /* Private typedef -----------------------------------------------------------*/ /* Private define ------------------------------------------------------------*/ /* Private macro -------------------------------------------------------------*/ /* Private variables ---------------------------------------------------------*/ GPIO_InitType GPIO_InitStructure; TMR_TimerBaseInitType TMR_TMReBaseStructure = {0}; TMR_OCInitType TMR_OCInitStructure = {0}; NVIC_InitType NVIC_InitStructure; /* Private function prototypes -----------------------------------------------*/ void RCC_Configuration(void); void AT32_NVIC_Init(void); void AT32_TMR3_Init(void); /* Private functions ---------------------------------------------------------*/ int main(void){ AT32_NVIC_Init(); RCC_Configuration(); Delay_init(); AT32_LEDn_Init(LED3); AT32_LEDn_OFF(LED3); AT32_TMR3_Init(); TMR_INTConfig(TMR3, TMR_INT_CC1, ENABLE); TMR_Cmd(TMR3, ENABLE);/* TMR3 enable counter */ while (1){ Delay_ms(100); } } void RCC_Configuration(void) { RCC_APB1PeriphClockCmd(RCC_APB1PERIPH_TMR3, ENABLE); RCC_APB2PeriphClockCmd(RCC_APB2PERIPH_TMR1, ENABLE); } void AT32_NVIC_Init(void){ // NVIC_PriorityGroupConfig(NVIC_PriorityGroup_4); NVIC_InitStructure.NVIC_IRQChannel = TMR3_GLOBAL_IRQn; NVIC_InitStructure.NVIC_IRQChannelPreemptionPriority = 1; NVIC_InitStructure.NVIC_IRQChannelSubPriority = 1; NVIC_InitStructure.NVIC_IRQChannelCmd = ENABLE; NVIC_Init(&NVIC_InitStructure); } /* TMR3 base configuration */ void AT32_TMR3_Init(void){ TMR_TimeBaseStructInit(&TMR_TMReBaseStructure); TMR_TMReBaseStructure.TMR_Period = SystemCoreClock/10000-1; TMR_TMReBaseStructure.TMR_DIV = 10000-1; TMR_TMReBaseStructure.TMR_ClockDivision = 0; TMR_TMReBaseStructure.TMR_CounterMode = TMR_CounterDIR_Up; TMR_TimeBaseInit(TMR3, &TMR_TMReBaseStructure); } void TMR3_GLOBAL_IRQHandler(void){ AT32_LEDn_Toggle(LED3); TMR_ClearITPendingBit(TMR3, TMR_INT_Overflow | TMR_INT_CC1 | TMR_INT_CC2 | TMR_INT_CC3 | TMR_INT_CC4 | TMR_INT_Trigger); }

    Infinite 定时器 vi ic IO

    4925浏览量 1回复量 关注量
  • Keil 无法打开 GD32工程

    Third Party Device Support for 'GigaDevice:GD32F130 [img]data:image/png;base64,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**CMizmIXLFiA02i1jhNhjIg4i12wYEHcCxLNSh566CHCGBERo1kM47Nnz2KVzGazhDEiIkbXHcZxl2W2SBgjImJFEsbVlzBGRMSKJIyrL2GMiIgVSRhXX8IYERErkjCuvoQxIiJWZGLDeKhB8+uz5Z+XrZczv0FDcZfXJWGMiIgVmYQwztY7cpyi9cqODqlhvvsxR/MbhgrPH2rQfMf7N5P12fjalDBGRMSKTEIYF8yqfnwkPNRQr4ah8ceHGjTfPfKNMGIeaphPGBPGiIi1Y2LCOFtfCNBsvedTXXsAAANoSURBVObPn28c7ZZGxwmXMEZExIpMRhi7pqXnN2goWy+nPjv+eL2yo6OFkG4Y0lCDOaiTFNyEMSIiVmQiwjhbP36tOKv6YhhXELDZ+vkT09oJkDBGRMSKjD+Mh9RQX6/6+b4wtoyMS68pPj7qvwHMif3uasIYERErMv4wHtVEuBbCOGuZivbcUe26iYuRMWGMiFjTJieMi6PaetXPL341yTQyLjx3/vz5pREwYUwYIyLWtMkJ4/HQ9Yx6C4/X1098dzhb7xohW64tO44zPs0dT30IY0RErMhkhPHskjBGRMSKJIyrL2GMiIgVSRhXX8IYERErkjCuvoQxIiJWJGFcfQljRESsSMK4+hLGiIhYkYRx9SWMERGxIothnM1msYoSxoiIGNkFCxbooYcewmmQMEZExEguWLAAp9FqHadpCeNly5YRxoiIiBGtaxzWo48+Wgrj1tZWwhgREXEmLYbx4sWLtWzZMsIYERFxpo0axqtWrSKMERERp0NGxoiIiDE7LdeMuZsaERExulO6m3pgYEDZbFarVq1Sb2+vurq6CGNERMQKLYZxU1OTWlpaSmHc1dWlnp4e9fX1lcJ4YGBAa9as0dq1a7V+/fpCGK9evZowRkREnIJ1jcNauHBhKYzb2trU0dHhCeNVq1aVD+O+vj51dXWpvb1dLS0thDEiImJE6xqHtWjRIi1dulTLly9Xe3u7Ojs7o4XxmjVrVLxu3NfXp+7ubq1cuVLLly8njBERESNa1zisxx9/vHQndTGMy32tKRDGxZu4Ojo61NraShgjIiJGtK5xWIsXL1Zzc3PoFLU1jP3XjYt3VBPGiIiI0axrHFZTU5OWL1+utra20qjYHcbFIC5OURvD2D9VXdc4jIiIiBF1f7/YdBe1P4zXr19fCOO1a9eqGMj+7xu3t7ertbVVzc3NWrp0qZYsWaLFixfr8ccf16JFi7Rw4UI99thjevTRR/XII4+osbERERFx1vrII4/o0Ucf1WOPPaaFCxdq0aJFWrx4sZqamjzXijs6OkrXisuNijds2CBn3bp18k9V9/X1lQJ55cqVamtrU2trq1paWtTc3Kxly5Zp6dKlampq8gR0MaQRERFnm8WcW7x4sZYsWaKmpiYtXbpUzc3NamlpUWtrq/FasWlUvG7dOk8Y/393dqJOfM1xawAAAABJRU5ErkJggg==[/img]

    keil Device ce ev ic vi

    3284浏览量 1回复量 关注量
  • GD32F103可以使用使用CMSIS标准的USBD库吗?

    之前的代码是stm32的,现移植到gd32,别的功能都没问题,就是之前代码使用的是CMSIS的标准USBD库,现在发现移植后设备无法识别。安装GigaDevice.GD32F10x_DFP.2.0.2支持包后,Keil的CMSIS Driver里的USB Device(API)不是可用状态,是不是表示GD32的USB是不支持这种驱动接口的?

    USB gd32F103 移植 代码 vi ic

    4459浏览量 1回复量 关注量
  • 运放构成正向放大器,为什么-Vin存在50mV电压而无输出?

    [i=s] 本帖最后由 A—H 于 2021-6-1 00:47 编辑 [/i] 本人使用了一片标准的运放IC(NE5532、OPA2694),考虑增加输入阻抗,电路接成了正向放大器。正向端+Vin对地输入了约10毫伏的交流正弦波,可是输出端几乎测不到输出的交流电压。但是测量负极输入端—— -Vin的时候,发现IC的负输入端有约50毫伏的正弦波。谁遇到过类似问题?想请教这是为什么?电路图见附件。

    vi 放大器 运放 电压 ic 正弦波

    7080浏览量 15回复量 关注量
  • mcp2515 接收帧率请教

    [font=Arial]我在使用mcp2515作为can收发器。 主机是nvidia的jetson产品,跑的是linux操作系统。 [/font] [font=Arial]经过实际测试,10M spi clock, 500k波特率,mcp2515能接收的最高帧率为1000fps. [/font] [font=Arial]经过实际测试,发现接收帧率和中断响应次数是不一样的,比如发送端发送了100个数据,中断响应才98次,请问这是为什么呢?[/font] [font=Arial]1. 请问此ic芯片收发的最高帧率多少?[/font] [font=Arial]2. 有无参考代码可以提供?[/font]

    MCP2515 ic vi ps pi

    988浏览量 1回复量 关注量
  • GD32F150执行nvic_irq_enable时死机

    GD32F150使用Keil编写程序时,调用nvic_irq_enable使能任何中断都会导致HardFault_Handler异常,跟踪到nvic_irq_enable函数内发现只要访问SCB寄器就会导致异常,请问这是什么原因?Fault Reports中显示PRECISERR和BFARVALID故障,SCB->BFAR=0xE000ED0C

    GD32F150 ic vi BLE Fault

    1973浏览量 2回复量 关注量
  • 散热风扇,3.3v io接到fg和pwm吗

    请教这个风扇调速pwm管脚可以直接接到单片机3.3v IO口吗,fg反馈管脚上拉3k电阻后可以直接接到3.3v IO口吗

    PWM IO 管脚 vi 单片机

    836浏览量 2回复量 关注量
  • LDO输出电压失调

    测量LDO纹波的时候发现,VIN和VOUT电压一样,但贴上一颗Cout后,Vout电压正常,纹波正常,这是什么原因呢

    LDO 电压 输出电压 纹波 vi

    1304浏览量 5回复量 关注量