问答

汇集网友智慧,解决技术难题

21ic问答首页 - TAG - ge
  • 电压抬升电路

    [i=s] 本帖最后由 ZH1zhi 于 2024-3-20 21:55 编辑 [/i] 电压抬升电路 无法抬升, 甚至失真了为什么呢?###NextPage###[img]data:image/png;base64,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**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**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***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**vnESRAAjYCcQzmcXjxZAX9jeevS0ImvCGItf5GE0SCi47Ho2b08+MxB4+HVOJmVjyNXnR5lrViHWnR322ZLDMeA4+vE1IGHsJ//G5gHPhs8/QUdfx0ZjyCBCIhgBErEn/oBgmQAAmQwAwCmw7mVfV84soM39c7RHS8XrIiaXnDK1SyOCByHC9/1TpeP7Vd8vVjZzAlj4oej882PR7PO19lLxbVtBHLMuM+WvwOIHP28BCWJcH3ufrpM37X1fjxiVZIYBKBTYf+SZ6yMAmQAAmQwCCBgIN5WbarTeTf5dI+v+V8fq5FGfRwix2ych2T2UhATEuOuHa/t7PmENayS69dqarPNTAogzbhRVG32+sTadpV0+3CesPa49HmtEtwOh8pbHgIz6/XBl8SOofOzOhxYqal1A6jjk8tYvS3Q6BvEOkUYgYJkAAJkEDcBAIO5vKoxDxvF4ocDp//RNoG9CLucDh6Fwcv6njHcLEYCcRHII5BJD4u9Gg+gd4l8***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[/img]

    PA nex ge 电路 电压 运放

    978浏览量 4回复量 关注量
  • 求解决ADC转换问题

    为什么ADC转换会卡在while(!ADC_GetFlagStatus(ADC1, ADC_FLAG_EC ));//等待转换结束

    ADC转换 ADC1 ge ST While status

    1488浏览量 4回复量 关注量
  • ez-usb cx3开发板usb无法识别

    开发板的说明文档中电脑是可以识别usb,自动安装驱动的,但是我用usb线连接电脑(win10)时,发现usb识别不了,显示westbridge。我的usb芯片为cyUSB3065,在官网找了很久也没找到相关驱动,请问这个问题谁遇到过吗?或者有没有相关驱动,发我一份,不胜感激

    EZ-USB 开发板 电脑 ge ST USB30

    2519浏览量 1回复量 关注量
  • 关于GD32F450VET6驱动RGB屏 sos

    本人刚接触RGB屏,弄了1个月毫无进展。于是从兆易创新官网进入这里,希望各位高手能指点一二 LCD为RGB666,但是由于单片机资源的原因(100PIN),采用RGB565,用原厂demo的代码,能正常显示内容图片 [img]data:image/png;base64,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***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**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***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**rt7G40UdxUzu6DZkqOWD5OQHp6+oylpaX9//b/gf1fcnZh6atPbdYAAAAASUVORK5CYII=[/img] 自己的图 但是采用Image2LCD并且用各种方式生成自己的图片数组后,显示却 [img]data:image/png;base64,iVBORw0KGgoAAAANSUhEUgAAADsAAAAcCAYAAADfjMc9AAAT3klEQVRYhVXWx3Mk6Z3e8UJVZmVWVZaHa7SdNvDeu4I3Be+74b03VSi48hZAT/dYjiGXQSlI7h4oUaJ22qDRQPdIDPEiXiSupNVeFOIMGYpQhGLJkfQPfHVobnRPRjyRh3zz8Infk2++Kv5y/cP/+QPtl37uvz5l8HWcrq+jdF5G6DgPUv/CR/XLI6peHFD1lYfKJx5ynm5T+eQA14u/4l5iEtV2NaqjZlICQzz81c/49F/8c0rjcxTFZwn/8AM+/OU/Y+JnJ2z/8odU/cSDNTrCztmPuP8sRsEH42RtOsmZaCJvoIa8jgpyHIVkVeSSU5JDeUUxtXVV1DfXUtxQQmZNHu33nXR67lMbu0/zD+Zp/+kmvU+8hH/713iefUnx+9MUPp4m/9E0j/71T/hff/wfqP4J+9+++5aWCx9jX5/S/3Wcjq8jOF9Fab+MUHfupeL8kPKzfaqe7lH27A228MkuB7/+OcWPllBtVqL2tiF6Oyn/dJO+n4a5+8EcabFRnD/x4fihByXQR3Z0ilvrXVwfdeAYcVJcX0zajRQUo4ykFdBKGiSDFoNNjzndijHDinLFjDHDgvGKBb1dQWeUkGUNsqTGZJC4csVGQUU2jQ9amPatsvFJgMFPtun4cofix7PM/zjIw3/55Vvs3//5D7S8fIMdeh2n63WEztcRnBdhms79VL08ovzFPpVP9yg72yf3q20Kn3tw//uf0vvjEJpdB0mHzagCHah8bZjDAzR/uEXdR5uk+gZJX2zhWm81yUW3SbmWhsliRJRFdMlGjNkZmKrvYO3IxzZUjn2qmrT5BtJWm0nbbCV5o4WUjVaS11pIWW4kebqW1MFyUlrysFXcwXbvCqYUE0aTnhSbieys21Q6ymid7KLXP0t9bIrq07nvT7bppZeR18f0XUbpeBWh/VWY9vMg9c+OqHxxSOnZAWXP9ik52yfn6S65T1x0nx3jufgp751OotqtQ+VtRQz30ny8xqp7k9HlGXLbq7FfTcFqMyPZjUh3UlEacrBO1GPf7MC6343Z34ctNoz99D7298ewnY5iOhnG+nAYy8NhTKdDKA+HsTwaxfpwDHtiBFugD/NuB/a1ZpJn6kntryCl6h6mmykYFIk0s4GyvLsUNxbh3Bh5Z7Lf/YHGSz/DX5/gvIjQ+ipE2+swLedBas+8lJ8fUnS2T/GzPfKfe7j7dIe7v9og619tMfPqC+b/zackx4ZR+9q4fjhI6+wwxYVFWG12FMWI1mRCn3sdU3c55sVm9BvNGFwd6HfakbaakXYcyG4HOo8D/aEDvd+BLtSALtSKPtSJHGpHDjWhC9ajD9aiDzoQvU1oj9ox+LoxBwaw+Yex7veRvNZK8mAZ1opb3Mq6RubVVHJvXn2L/a/ffUvd+REDr4/fYC9DNF+GaHwRoO7cR8X5EYVnexQ93aPgmYespy7u/e029361ReFX++z85ufM/eIDsta6ea86H1tyMoJWRtArSClpGLMyURry0TcXoG/PRee8iaH7O**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[/img]

    GD32F450 RGB CD LCD ge image2LCD

    1638浏览量 0回复量 关注量
  • 关于GD32F450VET6驱动RGB屏

    本人刚接触RGB屏,弄了1个月毫无进展。于是从兆易创新官网进入这里,希望各位高手能指点一二 LCD为RGB666,但是由于单片机资源的原因(100PIN),采用RGB565,用原厂demo的代码,能正常显示内容图片 自己的图片 但是采用Image2LCD并且用各种方式生成自己的图片数组后,显示却

    GD32F450 RGB CD LCD ge image2LCD

    1876浏览量 3回复量 关注量
  • 求助,STM32H755通过SWD烧录后搜索不到设备的问题 sos

    因为通过其他芯片程序的不成熟的移植和时钟配置不正确都会导致在进行一次烧录后,搜索不到设备的问题 错误提示为:JLink Error: Supply voltage too low (1 Volt is required, Measured: 0.2 Volt). Please check target power. STM32: Connecting to CPU via connect under reset failed. 通过网上的查找,大多解决办法是通过将复位引脚NRST接地进行烧录,但是这样做,我的J-link连接的灯就红了 第二种方法是将BOOT0接3.3v高电平进行烧录,但是我尝试后是没有效果 ST的技术支持可能人手不太够,在这里求助路过的大佬,如果ST官方能看到就更好了,不胜感激!

    烧录 STM32H7 TI ge NEC link

    1801浏览量 0回复量 关注量
  • 这个新塘单片机MS51的IO中断为什么不能用呢

    这个就是P05接受一个上升沿或者下降沿之后P04输出一个高电平。功能很简单但是一直这个不能实现功能,也没有报错。 #include "MS51_16K.H" #include "Function_Define_MS51_16K.H" #include "delay.h" /* 名称:时控开关 功能:P05接收到上升沿或者下降沿,P04输出一个下降沿在输出高电平 时间:2021.5.26 版本号:V0.0(最初版) 备注:无干扰处理,总线广播,无串口校准验证 */ #define ENABLE_BIT5_EDGE_TRIG PICON|=0x40;PINEN|=0x20;PIPEN|=0x20//使能并设置P05端口为(PINEN)上升沿和(PIPEN)下降沿都触发中断 #define INDEPENDENTFALG 1 //1为独立模式,即总线广播(不区分设备地址) #if INDEPENDENTFALG void EdgeTriggerInit(void) { P04_PUSHPULL_MODE; P05_INPUT_MODE; set_P0S_5;//待修改,我也没懂这个时效保护在干撒 P04 = 0; P05 = 0; ENABLE_INT_PORT0;//选择P0口 ENABLE_BIT5_EDGE_TRIG;//选择5引脚 set_EPI;//引脚中断使能 set_EA; set_EX0; } void main(void) { EdgeTriggerInit(); while(1); } void PinInterrupt_ISR(void) interrupt 7 { EA = 0;//中断保护 if (PIF == 0X20) { P04 = 1; Delay_us(100); P04 = 0;//单纯的高电平不足以判断情况,为了证明正确性 Delay_us(100); P04 = 1; PIF = 0X00; } EA = 1; } #endif 各位大佬帮忙看一下,感谢。想了好几天没懂这个为啥不能用。

    技术交流 s51 IO 新塘单片机 pi ge

    1494浏览量 1回复量 关注量
  • 如图,这个红色部分电路工作原理

    [i=s] 本帖最后由 law3411209 于 2021-5-24 16:54 编辑 [/i] [img]file:///C:\Users\Lenovo\Documents\Tencent Files\244957442\Image\Group2\BM\8%\BM8%QJ9UTVT$OEQM0IAP}H8.png[/img][attach]1679924[/attach]这个是电压跟随器吗,与直接检测有什么不同啊?完整电路[attach]1679926[/attach]

    电路工作原理 部分电路 AC image ce ge

    2141浏览量 2回复量 关注量
  • at32f407 调试问题(非8000000处无法调试)

    本人尝试让407工程在 0x8008000烧写并调试,但始终不行,具体修改如下:1.把向量表偏移改为0x8000 2.MDK魔术棒下target 的IROM1设置为 0x8008000 3.MDK魔术棒下flash download 的start设置为 0x8008000

    MUC 调试 MDK download ge hd

    1796浏览量 2回复量 关注量
  • MG82F6D17 keil 调试问题

    MG82F6D17开发板,ICE连接电脑,设置按照ICE文档设置KEIL相关选项,编译代码后点击调试按钮,出现“Error:Target DLL has been cancelled.Debugger aborted!”警告。

    keil 调试 ce ICE ge ic

    1915浏览量 1回复量 关注量
  • STN 12864单色屏黑屏花屏是什么问题 sos

    [i=s] 本帖最后由 tyw 于 2021-4-8 09:15 编辑 [/i] 12864 FOG 驱动IC是ST7565,整机老化12小时,到客户端显示出现黑屏花屏现象,是什么原因引起[img]file:///C:\Users\Administrator\Documents\Tencent Files\1708991628\Image\C2C\5IMRJP4GM]1@MS[RGE]7PY0.jpg[/img][img]file:///C:\Users\Administrator\Documents\Tencent Files\1708991628\Image\C2C\IX)EXTE6%N5F04%YY0Y8)~C.jpg[/img]

    ST ge TE ADM AD ce

    892浏览量 0回复量 关注量
  • GD32F101C8T6 可选字节编程 sos

    [i=s] 本帖最后由 xmwolf 于 2021-1-28 09:21 编辑 [/i] 我使用的是GD32F101C8T6。读保护使能后,在设置页面写保护的时候,都会产生FMC_FLAG_BANK0_PGERR错误。试过几个片子,情形也都相同。没有读保护的情形下是正常的。请教一下如何解决。同样的程序,在STM32同型号芯片测试是可以的。

    编程 GD32F101 STM fm ge

    2605浏览量 0回复量 关注量
  • 【求助】请问CC2540 怎么在广播里面广播出mac地址啊? sos

    各位大神, 请问怎么在广播里面广播出mac地址? 我自己的想法是,先获取到mac地址,再把mac地址添加到scanRspData[]中? 但是我在使用GAPRole_GetParameter的时候,在蓝牙状态改变的回调函数中可以正常使用,在其他地方就不行。 请问还有什么方法可以获取吗?

    MAC地址 CC2540 CAN ge pd

    783浏览量 0回复量 关注量
  • stm32f0系列进入睡眠模式的困惑

    [color=#222226]使用STM32F030F4P6做产品,[/color][color=#222226]现在是可以进入待机模式,使用RTC唤醒,然后能发送数据工作。[/color] [color=#222226]现在想让产品初始上电后先进入休眠,然后在RTC唤醒后,再发送数据;但是现在只要上电就直接发送数据,然后才进入休眠。[/color] [color=#222226]通过查看PWR->CSR寄存器,看到RM手册中说SBF和WUF位是可以用来判断是否从待机模式中醒来的,但是程序这么做了不起作用,还是上电就发送数据。[/color] [color=#222226]请各位大佬给看看可能因为什么导致的。[/color] [color=#222226]int main(void)[/color] [color=#222226]{[/color] [color=#222226] /* MCU Configuration--------------------------------------------------------*/[/color] [color=#222226] HAL_Init();[/color] [color=#222226] /* Configure the system clock */[/color] [color=#222226] SystemClock_Config();[/color] [color=#222226] [/color] [color=#222226] MX_GPIO_Init(); [/color] [color=#222226] MX_RTC_Init();[/color] [color=#222226] [/color] [color=#222226] RTC_AlarmConfig();[/color] [color=#222226] /* USER CODE BEGIN SysInit */[/color] [color=#222226] if (__HAL_PWR_GET_FLAG(PWR_FLAG_SB) != RESET ) //在此判断SBF标志位,若为1,则表明是被唤醒过[/color] [color=#222226] //if(__HAL_PWR_GET_FLAG(PWR_FLAG_WU)!= RESET)[/color] [color=#222226]{[/color] [color=#222226] __HAL_RCC_GPIOA_CLK_ENABLE();[/color] [color=#222226] MX_SPI1_Init();[/color] [color=#222226] Lora_init();[/color] [color=#222226] //HAL_Delay(10000);[/color] [color=#222226] Read_Ds18b20();[/color] [color=#222226] Lora_SendTemp1();[/color] [color=#222226] }[/color] [color=#222226] __HAL_RCC_PWR_CLK_ENABLE();[/color] [color=#222226] if (__HAL_PWR_GET_FLAG(PWR_FLAG_SB) != RESET)[/color] [color=#222226]{[/color] [color=#222226] __HAL_PWR_CLEAR_FLAG(PWR_FLAG_SB);[/color] [color=#222226] }[/color] [color=#222226] [/color] [color=#222226] HAL_PWR_DisableWakeUpPin(PWR_WAKEUP_PIN1);[/color] [color=#222226]if (__HAL_PWR_GET_FLAG(PWR_FLAG_WU) != RESET)[/color][img=0,24]https://img-bbs.csdn.net/upload/202005/26/1590486098_526184.png[/img] [color=#222226] HAL_PWR_EnterSTANDBYMode();[/color] [color=#222226] while (1)[/color] [color=#222226] {[/color] [color=#222226] [/color] [color=#222226] }[/color] [color=#222226]}[/color] [color=#222226]通过查看数据手册,说有2个标志位,一个是用来说明曾进入待机模式;另一个是曾被唤醒过,通过判断这个,认为设备进入过待机模式,那么就可以发送数据;若没进入过,那就先进入待机,不发数据。[/color] [color=#222226]这2个我都尝试了,没起作用,请大家指点。[/color]

    STM32F0 se ni pi ge

    1082浏览量 6回复量 关注量
  • INA233 测量电流、电压、功率

    有大家用过这款芯片没,我一直没的看明白,这个电流到底应该怎么计算,也就是文档里所说的M值;先说我了解的吧,校准值我怎么怎么计算,虽然我不清楚[color=#231f20][font=Helvetica][size=13.3328px]0.00512到底干什么用的,其次电压也是没有问题的,文档有说最小LBS值[/size][/font][/color] [color=#231f20][font=Helvetica][size=13.3328px]我理解的电流系数是 [/size][/font][/color][font=Helvetica][color=#231f20][size=13.3328px]说明R=2mR [/size][/color][/font][color=#4d4d4d][font="][size=18px]Power_LSB = 25 * Current_LSB[/size][/font][/color] [color=#4d4d4d][font="][size=18px]BusVoltage_LSB = 1.25 mv/bit 固定值[/size][/font][/color] [color=#4d4d4d][font="][size=18px]ShuntVoltage_LSB = 2.5uv/bit 固定值[/size][/font][/color] [font=Microsoft YaHei, SF Pro Display, Roboto, Noto, Arial, PingFang SC, sans-serif][color=#4d4d4d][size=18px]这个m到底要怎么计算? [/size][/color][/font]

    电压 INA 电流 ge voltage

    981浏览量 4回复量 关注量