问答

汇集网友智慧,解决技术难题

21ic问答首页 - TAG - AT32
  • SPI的CLK时钟线使能后会不会出现一个80HZ的频率? sos

    AT32F421单片机,SPI的CLK时钟线使能后会不会出现一个80HZ的频率?

    AT32F4 AT32F AT32 时钟 spi hz

    1260浏览量 0回复量 关注量
  • AT32F403ARGT6 串口1管脚映射到PB6和PB7后无法输出消息 sos

    最近设计了一块基于AT32F403ARGT6的板卡,由于PA9和PA10做了其他用途,所以将串口1做了管脚映射,但映射到PB6和PB7后无法输出消息。配置是按照官方提供的IO复用配置方法进行操作的,如链接中关于串口I复用配置所示。 AN0096_AT32F435_437_GPIO_Application_Note_ZH_V2.0.0.pdf (arterytek.com) 目前采用的是官方例程中的usart printf,仅针对IO复用时钟开启,开启串口1的IO复用,串口引脚和时钟做了修改。 ###NextPage[title=实际代码]### [size=3]void uart_print_init(uint32_t baudrate)[/size] [size=3]{[/size] [size=3] gpio_init_type gpio_init_struct;[/size] [size=3] [/size] [size=3]#if defined (__GNUC__) && !defined (__clang__)[/size] [size=3] setvbuf(stdout, NULL, _IONBF, 0);[/size] [size=3]#endif[/size] [size=3] [/size] [size=3] /* enable the uart and gpio clock */[/size] [size=3] crm_periph_clock_enable(PRINT_UART_CRM_CLK, TRUE);[/size] [size=3] crm_periph_clock_enable(PRINT_UART_TX_GPIO_CRM_CLK, TRUE);[/size] [size=3] crm_periph_clock_enable(CRM_IOMUX_PERIPH_CLOCK, TRUE);[/size] [size=3] gpio_default_para_init(&gpio_init_struct);[/size] [size=3] [/size] [size=3] [/size] [size=3] /* configure the uart tx pin */[/size] [size=3] gpio_init_struct.gpio_drive_strength = GPIO_DRIVE_STRENGTH_STRONGER;[/size] [size=3] gpio_init_struct.gpio_out_type = GPIO_OUTPUT_PUSH_PULL;[/size] [size=3] gpio_init_struct.gpio_mode = GPIO_MODE_MUX;[/size] [size=3] gpio_init_struct.gpio_pins = PRINT_UART_TX_PIN;[/size] [size=3] gpio_init_struct.gpio_pull = GPIO_PULL_NONE;[/size] [size=3] gpio_init(PRINT_UART_TX_GPIO, &gpio_init_struct);[/size] [size=3] gpio_pin_remap_config(USART1_GMUX_0001, TRUE); [/size] [size=3] /* configure uart param */[/size] [size=3] usart_init(PRINT_UART, baudrate, USART_DATA_8BITS, USART_STOP_1_BIT);[/size] [size=3] usart_transmitter_enable(PRINT_UART, TRUE);[/size] [size=3] usart_enable(PRINT_UART, TRUE);[/size] [size=3]}[/size] 大家有这样用过的吗。

    AT32 USART 管脚映射 嵌入式 技术交流

    862浏览量 0回复量 关注量
  • AT32F437 USB OTG功能?

    你好: 我在使用AT32F437的时候,用它的USB模块。做为主机时,插入U盘可以读写U盘的数据。做为设备时,用USB线连接电脑,挂载SD卡模拟成U盘使用。这两个功能可以在使用过程中动态切换吗?

    AT32 USB OTG Host driver

    707浏览量 1回复量 关注量
  • keil仿真看不到system viewer

    [img]data:image/png;base64,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[/img]使用AT32F413RCT7在仿真时,system viewer是空的,请问怎么才能显示呢

    keil 仿真 AT32

    1317浏览量 3回复量 关注量
  • AT32F403A SPI DMA驱动液晶,mosi没数据 sos

    这两个函数配置有哪些问题么? 对比了官方demo,除了内部是灵活配置的dma,其他没找到哪些地方不一样。 void spi3_init(void) { gpio_init_type gpio_initstructure; spi_init_type spi_init_struct; dma_init_type dma_init_struct; crm_periph_clock_enable(CRM_GPIOC_PERIPH_CLOCK, TRUE); crm_periph_clock_enable(CRM_IOMUX_PERIPH_CLOCK, TRUE); crm_periph_clock_enable(CRM_DMA2_PERIPH_CLOCK, TRUE); crm_periph_clock_enable(CRM_SPI3_PERIPH_CLOCK, TRUE); gpio_pin_remap_config(SPI3_GMUX_0011, TRUE); /* sck */ gpio_initstructure.gpio_pull = GPIO_PULL_UP; gpio_initstructure.gpio_mode = GPIO_MODE_MUX; gpio_initstructure.gpio_pins = GPIO_PINS_10; gpio_init(GPIOC, &gpio_initstructure); /* miso */ gpio_initstructure.gpio_pull = GPIO_PULL_UP; gpio_initstructure.gpio_mode = GPIO_MODE_MUX; gpio_initstructure.gpio_pins = GPIO_PINS_11; gpio_init(GPIOC, &gpio_initstructure); /* mosi */ gpio_initstructure.gpio_pull = GPIO_PULL_UP; gpio_initstructure.gpio_mode = GPIO_MODE_MUX; gpio_initstructure.gpio_pins = GPIO_PINS_12; gpio_init(GPIOC, &gpio_initstructure); dma_reset(DMA2_CHANNEL1); dma_default_para_init(&dma_init_struct); dma_init_struct.memory_data_width = DMA_MEMORY_DATA_WIDTH_BYTE; //内存数据的宽度 dma_init_struct.memory_inc_enable = TRUE; //内存地址递增打开 dma_init_struct.peripheral_data_width = DMA_PERIPHERAL_DATA_WIDTH_BYTE; //外设数据的宽度 dma_init_struct.peripheral_inc_enable = FALSE; //外设地址递增关闭 dma_init_struct.priority = DMA_PRIORITY_MEDIUM; //中等优先级 dma_init_struct.loop_mode_enable = FALSE; //不循环 dma_init_struct.peripheral_base_addr=(uint32_t)&(SPI3->dt); //外设地址 dma_init_struct.memory_base_addr=(uint32_t)spi3_tx_buffer; //内存地址 dma_init_struct.direction=DMA_DIR_MEMORY_TO_PERIPHERAL; //外设地址为源地址 dma_init_struct.buffer_size=32; dma_init(DMA2_CHANNEL1, &dma_init_struct); dma_channel_enable(DMA2_CHANNEL1, TRUE); dma_flexible_config(DMA2, FLEX_CHANNEL1, DMA_FLEXIBLE_SPI3_TX); spi_init_struct.transmission_mode = SPI_TRANSMIT_FULL_DUPLEX; spi_init_struct.master_slave_mode = SPI_MODE_MASTER; spi_init_struct.mclk_freq_division = SPI_MCLK_DIV_8; spi_init_struct.first_bit_transmission = SPI_FIRST_BIT_MSB; spi_init_struct.frame_bit_num = SPI_FRAME_8BIT; spi_init_struct.clock_polarity = SPI_CLOCK_POLARITY_HIGH; spi_init_struct.clock_phase = SPI_CLOCK_PHASE_2EDGE; spi_init_struct.cs_mode_selection = SPI_CS_SOFTWARE_MODE; spi_init(SPI3, &spi_init_struct); spi_enable(SPI3, TRUE); spi_i2s_dma_transmitter_enable(SPI3,TRUE); } void LCD_SendByte(uint8_t dat) { volatile uint8_t dummy_data; ST7789_CS_LOW; while (spi_i2s_flag_get(SPI3, SPI_I2S_TDBE_FLAG) == RESET && spi_i2s_flag_get(SPI3, SPI_I2S_BF_FLAG) == SET) { // 等待主机数据发送完毕 } spi_i2s_data_transmit(SPI3, dat); while (spi_i2s_flag_get(SPI3, SPI_I2S_RDBF_FLAG) == RESET && spi_i2s_flag_get(SPI3, SPI_I2S_BF_FLAG) == SET) { // 等待主机数据接收完毕 } dummy_data = spi_i2s_data_receive(SPI3); ST7789_CS_HIGH; }

    AT32

    799浏览量 0回复量 关注量
  • AT32F403AVGT7的UART在波特率1200BPS为什么无法正常工作?

    AT32F403AVGT7 主频工作在240MHZ时,为什么使用UART在波特率1200BPS为什么无法正常工作,在不降低主频的情况下有什么解决办法吗?

    AT32 MCU UART 波特率 ps

    3558浏览量 8回复量 关注量
  • 关于windows11安装 Keil MDK后无法编译AT32的支持包问题

    Keil MDK 5.30或5.37版本安装AT32F403A支持包1.3.7 , 2.0.8 , 2.1.0这个版本的支持包都不能编译成功.但是换成STM32的就可以编译,研究了两天不知道问题所在.有没有方法解决

    支持包 AT32 编译 Windows MDK

    1204浏览量 3回复量 关注量
  • 关于F403A V1.36版本和V2.06版本SD卡驱动疑问

    [color=#444444][backcolor=rgb(255, 255, 255)][font=Tahoma, "][size=15px]想请教一个问题,就是自己画的板子,芯片AT32F403ACGT7,我使用V1.36的库,使用SDIO2_MMCCARD例程,然后使用DMA模式,和使用POLLING模式,程序都可以正常跑下去,singleblock和mutileblock测试通过;但是使用V2.06版本驱动,SDIO_MMC程序,将SDIO1相关定义时钟引脚等换成SDI02,使用POLLING模式可以正常运行;使用DMA模式,singleblock测试发送数据就会卡死,不知道这个是什么情况,有帮忙分析一下,谢谢了[/size][/font][/backcolor][/color][backcolor=rgb(255, 255, 255)][size=15px][font=Tahoma, Microsoft Yahei, Simsun][color=#444444] if(sdio_data_init_t->transfer_direction == SDIO_DATA_TRANSFER_TO_CARD) { sd_dma_config(buf, length, DMA_DIR_MEMORY_TO_PERIPHERAL); SDIOx->inten |= SDIO_INTR_STS_WRITE_MASK; transfer_error = SD_OK; transfer_end = 0; sdio_dma_enable(SDIOx, TRUE); } while(!(SDIOx->sts & SDIOx->inten) && timeout) { timeout--; if(transfer_end) { break; } } 就是在这边卡了,timeout=0xffffff; 求帮忙 [/color][/font][/size][/backcolor]

    AT32 SD卡 IO DMA TRANSFER transf

    4643浏览量 8回复量 关注量
  • 使用RT-Thread studio编译AT32工程时,提示at32f4xx.h有问题?

    使用RT-Thread studio编译AT32F403A工程时,提示at32f4xx.h有问题?添加OLED库再编译的.

    AT32

    1160浏览量 1回复量 关注量
  • 关于AT32的GPIO口配置为复用功能的疑问

    代码出自AT32所提供的AT32F403A的XMC例程,路径:...\AT32F4xx_StdPeriph_Lib_V1.3.0\Project\AT_START_F403A\Examples\XMC\NAND\ECC 首先,下面这部分代码目的是将PE7~PE10配置为XMC_D4、XMC_D5、XMC_D6、XMC_D7功能(图放在2楼): 下面的GPIO口说明从AT32F403A数据手册里截取(图放在3楼): 我的疑问是: 程序里希望把PE7和PE8引脚复用为XMC_D4和XMC_D5功能,但是在程序里没有找到对应的代码对GPIO口引脚的功能进行设置,比如STM32里有库函数可以对GPIO口复用功能进行设置:GPIO_PinAFConfig(GPIOB, GPIO_PinSource8, GPIO_AF_I2C1)。那么AT32里是怎么进行设置的呢?我在例程里没有找到,麻烦老铁们指点一二,先行谢过了!(PS:AT32的GPIO口这部分内容,研究了几天了,一头雾水...)

    ST GPIO 代码 引脚 ps

    3844浏览量 4回复量 关注量
  • RTthread Studio调试问题

    [i=s] 本帖最后由 zxc9771 于 2021-10-18 13:14 编辑 [/i] 用的AT32F403 +ATLink,在RTthread studio上调试工程出现了如下错误,进不去调试和无法下载,选择的是DAPLink调试(上面没有AT-Link调试器,但是DAPLink可以当做AT-Link使用),我把该工程移动到eclipse上面却可以进行调试,使用雅特力官方下载软件也可以下载,请教下大佬们,这个是什么问题呢? 问题截图: [img]data:image/png;base64,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[/img] [img]data:image/png;base64,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[/img] [attach]1773176[/attach] [img]data:image/png;base64,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***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[/img]

    RTthread Studio 调试 rtthread link AC

    1954浏览量 1回复量 关注量
  • STM32F446移植到AT32F4,DMA代码移植的问题

    最近在将基于STM32F446的代码移植到AT32F403A上时,DMA部分代码的移植过程中有一部分代码不知道该如何处理:STM32代码中有一句:while (DMA_GetCmdStatus(DMA1_Stream2) != DISABLE); {}该代码作用是等待对应的DMA通道关闭,当DMA通道成功关闭以后,跳出循环执行后面的代码。现在的问题是:我在AT32F4上没有找到对应功能的函数,并且,我也找了AT32F4的DMA寄存器部分,没有可以实现这一功能的寄存器,所以不知道这句代码该如何修改,麻烦有移植过这部分代码的老铁们指点一二,感激不尽!

    AT32 移植 DMA 代码移植 寄存器

    4469浏览量 4回复量 关注量