问答

汇集网友智慧,解决技术难题

21ic问答首页 - TAG - N32G031
  • N32G031程序无法跳转到主函数 sos

    [img]data:image/png;base64,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[/img]如图,程序一直在这里,求大佬指教。

    如图 N32G031 2G N32 函数

    522浏览量 0回复量 关注量
  • 国民技术n32g031睡眠模式无法唤醒

    求问:n32g031单片机使用PWR_EnterSLEEPMode(SLEEP_ON_EXIT, PWR_SLEEPENTRY_WFI)进入睡眠模式后无法触发按键外部中断,以至于无法唤醒芯片继续运行。如果把此函数放在while(1)里面就可以,外边就不行!大家有遇到这个问题的吗~~~

    EE 技术 N32G031 单片机 嵌入式 国产芯片

    1040浏览量 3回复量 关注量
  • N32G031 ADC采样50Hz正弦波有效值波动严重、 sos

    现象:芯片计算出来的有效值波动较大,最大最小值偏差59%。抓了ADC输入信号是稳定的。均方根算法验证过是正确的,带入固定数据算得也是对的。采样方式:软件触发,0.625ms使能1次,共采集16次,在定时器中使能 ADC_EnableSoftwareStartConv(ADC,ENABLE); AD读取方式:AD中断里读取ADC_GetDat(ADC); 初始化: void ADC_Initial(void) { ErrorStatus HSIStartUpStatus; ADC_InitType ADC_InitStructure; NVIC_InitType NVIC_InitStructure; /* Configure and enable ADC interrupt */ NVIC_InitStructure.NVIC_IRQChannel = ADC_IRQn; NVIC_InitStructure.NVIC_IRQChannelPriority = 1; NVIC_InitStructure.NVIC_IRQChannelCmd = ENABLE; NVIC_Init(&NVIC_InitStructure); /* Enable ADC clocks */ RCC_EnableAHBPeriphClk(RCC_AHB_PERIPH_ADC, ENABLE); /* enable ADC 1M clock */ RCC_EnableHsi(ENABLE); /* Wait til1 HSI is ready*/ HSIStartUpStatus = RCC_WaitHsiStable(); if(HSIStartUpStatus == SUCCESS) { } else { /* If HSI fails to start-up, the application will have wrong clock configuration. User can add here some code to deal with this error*/ /* Go to infinitel1oop*/ while(1) { } } RCC_ConfigAdc1mClk(RCC_ADC1MCLK_SRC_HSI, RCC_ADC1MCLK_DIV8); /* RCC_ADCHCLK_DIV16*/ ADC_ConfigClk(ADC_CTRL3_CKMOD_AHB, RCC_ADCHCLK_DIV16); /* ADC configuration ------------------------------------------------------*/ ADC_InitStructure.MultiChEn = ENABLE; ADC_InitStructure.ContinueConvEn = DISABLE; ADC_InitStructure.ExtTrigSelect = ADC_EXT_TRIGCONV_NONE; ADC_InitStructure.DatAlign = ADC_DAT_ALIGN_R; ADC_InitStructure.ChsNumber = 1; ADC_Init(ADC, &ADC_InitStructure); /* ADC regular channels configuration */ ADC_ConfigRegularChannel(ADC, ADC_CH_4_PA4, 1, ADC_SAMP_TIME_29CYCLES5); // ADC_ConfigRegularChannel(ADC, ADC_CH_5_PA5, 2, ADC_SAMP_TIME_29CYCLES5); /* Regular discontinuous mode channel number configuration */ ADC_ConfigDiscModeChannelCount(ADC, 1); /* Enable regular discontinuous mode */ ADC_EnableDiscMode(ADC, ENABLE); /* Enable interrupt */ ADC_ConfigInt(ADC, ADC_INT_ENDC, ENABLE); ADC_EnableVrefint(ENABLE); /* Enable ADC */ ADC_Enable(ADC, ENABLE); /*wait ADC is ready to use*/ while(!ADC_GetFlagStatusNew(ADC, ADC_FLAG_RDY)) ; /*wait ADC is powered on*/ while(ADC_GetFlagStatusNew(ADC, ADC_FLAG_PD_RDY)) ; }

    ADC 国民技术 N32G031

    989浏览量 0回复量 关注量