打印

发送不了数据

[复制链接]
398|14
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
pengf|  楼主 | 2017-11-27 18:37 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
我自己做了一块实验板(用的芯片是cy7c68013A,没有片外RAM和ROM,只有一片24LC256),用于与FPGA进行通信,实验板配置成slave FIFO工作模式,IFCLK由FPGA提供,其他接口线都正确连接。实验时采用C2加载方式,把固件程序正确烧录到EEPROM,且连上PC后能正确识别,固件程序中把EP2设置成OUT端点,当用control panel向EP2发送数据,显示发送不了数据
沙发
renyaq| | 2017-11-27 18:38 | 只看该作者
把IFCLK设置成由单片机自身提供呢

使用特权

评论回复
板凳
pengf|  楼主 | 2017-11-27 18:48 | 只看该作者
其他一切不变,再用control panel向EP2发送数据,则能正确发送

使用特权

评论回复
地板
supernan| | 2017-11-27 18:50 | 只看该作者
若选择外部IFCLK,看看寄存器IFCONFIG的bit7是否设置为0。

使用特权

评论回复
5
xxrs| | 2017-11-27 18:51 | 只看该作者


对,同时要注意在firmware配置此位为0之前,是否有稳定的CLOCK从FPGA输出。

使用特权

评论回复
6
pengf|  楼主 | 2017-11-27 18:52 | 只看该作者
问题补充:采用“无EEPROM或EEPROM引导数据无效”加载方式时,用control panel是能正确向EP2发送数据的

使用特权

评论回复
7
houcs| | 2017-11-27 18:55 | 只看该作者
那固件程序应该是没有问题的。

使用特权

评论回复
8
liuzaiy| | 2017-11-27 18:57 | 只看该作者
PC发送之后检查以下68013的FIFO标志位,看有没有数据收到。
另外你PC一个数据发不出去应该是68013固件的问题。如果发送第三组时候堵塞了,就是FIFO没有及时被读出。
SLAVE FIFO 也有两种模式,同步也异步的,异步模式下不使用FICLK这根线,同步模式下IFCLK是由68013输出的。

使用特权

评论回复
9
yinxiangh| | 2017-11-27 18:58 | 只看该作者
你发数据成功时在fifo段有没有FLAGA,FLAGB,FLAGC信号提示?

使用特权

评论回复
10
pengf|  楼主 | 2017-11-27 22:20 | 只看该作者
是的哈

使用特权

评论回复
11
pangb| | 2017-11-27 22:23 | 只看该作者
我跟你的用法相似能发数据empty也有信号,但是读出的数据不对

使用特权

评论回复
12
pengf|  楼主 | 2017-11-27 22:25 | 只看该作者
你的数据是?

使用特权

评论回复
13
morrisk| | 2017-11-27 22:26 | 只看该作者
固定的0,其他用过fifo模式的帮忙一下。

使用特权

评论回复
14
zyf部长| | 2017-11-27 22:28 | 只看该作者
程序应该问题不大,看看KEIL C项目选项的设置,留意code,data数据空间之类的。

使用特权

评论回复
15
pengf|  楼主 | 2017-11-27 22:30 | 只看该作者

哦,那我看看

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

718

主题

10129

帖子

3

粉丝