发新帖我要提问
12
返回列表
打印
[技术问答]

IO口配置了,却不能控制输出

[复制链接]
楼主: 前功尽弃
手机看帖
扫描二维码
随时随地手机跟帖
21
fangzimo| | 2015-1-9 13:25 | 只看该作者 回帖奖励 |倒序浏览
22
前功尽弃|  楼主 | 2015-1-9 13:28 | 只看该作者
fangzimo 发表于 2015-1-9 13:24
参考https://bbs.21ic.com/forum.php?mod=viewthread&tid=442857&highlight=如何结贴

3Q

使用特权

评论回复
23
mintspring| | 2015-1-10 16:27 | 只看该作者
楼主可以结贴了。

使用特权

评论回复
24
mintspring| | 2015-1-10 16:28 | 只看该作者
/* Init I/O Multi-function                                                                                 */
    /*---------------------------------------------------------------------------------------------------------*/

    /* Set P3 multi-function pins for UART0 RXD and TXD */
    SYS->P3_MFP &= ~(SYS_MFP_P30_Msk | SYS_MFP_P31_Msk);
    SYS->P3_MFP |= (SYS_MFP_P30_RXD0 | SYS_MFP_P31_TXD0);
设置P3的其他功能作为串口T0的接收发送接口

使用特权

评论回复
25
ccw1986| | 2015-1-30 09:06 | 只看该作者
呵呵,设置错了

使用特权

评论回复
26
yyglucky| | 2017-7-10 17:58 | 只看该作者

使用特权

评论回复
27
Harvard| | 2017-7-11 13:37 | 只看该作者
用库用库 自己写的各种不规范...

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则