问答

汇集网友智慧,解决技术难题

awbl

TA的家园币:246  

  • modelsim仿真问题

    在学习FIR的过程中遇到了仿真问题,大家帮忙看一下。1、modelsim版本:modelsim-Altera10.3d2、quartus版本:QuartusII15.0(64-bit)3、主程序fir.v:modulefir(clk,rst_n,key,dac_mode,dac_sleep,dac_clka,dac_dat_a,dac_wra,dac_clkb,dac_dat_b,dac_wrb);inputclk;inputrst_n;input[7:0]key;outputdac_mode;outputdac_sleep;outputdac_clka;output[7:0]dac_dat_a;outputdac_wra;outputdac_clkb;output[7:0]dac_dat_b;outputdac_wrb;//信号类型定义。reg[7:0]sin_data;reg[31:0]cnt1;wireadd_cnt1;wireend_cnt1;wire[16:0]addr_temp;wire[6:0]addr;reg[12:0]x;reg[7:0]dac_dat_a;wiredac_sleep;wiredac_mode;wiredac_clka;reg[1:0]cnt0;wireadd_cnt0;wireend_cnt0;wire[7:0]fir_dout;wirefir_dout_vld;reg[7:0]dac_dat_b;wiredac_clkb;wiredac_wrb;wire[7:0]fir_din;wire[7:0]fir_dout2;//设计正弦信号。always@(*)begincase(addr)0:sin_data=8'h7F;1:sin_data=8'h85;2:sin_data=8'h8C;3:sin_data=8'h92;4:sin_data=8'h98;5:sin_data=8'h9E;6:sin_data=8'hA4;7:sin_data=8'hAA;8:sin_data=8'hB0;9:sin_data=8'hB6;10:sin_data=8'hBC;11:sin_data=8'hC1;12:sin_data=8'hC6;13:sin_data=8'hCB;14:sin_data=8'hD0;15:sin_data=8'hD5;16:sin_data=8'hDA;17:sin_data=8'hDE;18:sin_data=8'hE2;19:sin_data=8'hE6;20:sin_data=8'hEA;21:sin_data=8'hED;22:sin_data=8'hF0;23:sin_data=8'hF3;24:sin_data=8'hF5;25:sin_data=8'hF7;26:sin_data=8'hF9;27:sin_data=8'hFB;28:sin_data=8'hFC;29:sin_data=8'hFD;30:sin_data=8'hFE;31:sin_data=8'hFE;32:sin_data=8'hFE;33:sin_data=8'hFE;34:sin_data=8'hFE;35:sin_data=8'hFD;36:sin_data=8'hFC;37:sin_data=8'hFA;38:sin_data=8'hF8;39:sin_data=8'hF6;40:sin_data=8'hF4;41:sin_data=8'hF1;42:sin_data=8'hEF;43:sin_data=8'hEB;44:sin_data=8

    DAC DA 仿真 modelsim FPGA

    2023-08-27 7
  • 关于modelsim的仿真问题。

    在跟着B站视频学习到FIR时,仿真遇到问题。1、quartus版本:Quartus(QuartusPrime18.1)LiteEdition2、modelsim是从altera官网下载的pro18.1,已经解锁。3、联合仿真时出现以下错误:4、单独仿真时出现以下错误:诸位大佬指点迷经,感激不尽