打印
[ZLG-MCU]

【分享】74系列,4000系列标准数字逻辑芯片速查

[复制链接]
5101|24
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
金鱼木鱼|  楼主 | 2011-7-28 23:39 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
74系列,4000系列标准数字逻辑芯片资料 (格式稍有错乱,可以拷贝到记事本后再看)


反相器 驱动器   LS04 LS05 LS06 LS07 LS125 LS240 LS244 LS245

与门 与非门   LS00 LS08 LS10 LS11 LS20 LS21 LS27 LS30 LS38

或门 或非门 与或非门   LS02 LS32 LS51 LS64 LS65

异或门 比较器   LS86

译码器   LS138 LS139

寄存器   LS74 LS175 LS373

相关帖子

沙发
金鱼木鱼|  楼主 | 2011-7-28 23:39 | 只看该作者
反相器:

          Vcc  6A  6Y  5A  5Y  4A  4Y    六非门    74LS04
         ┌┴─┴─┴─┴─┴─┴─┴┐  六非门(OC门) 74LS05
     _   │14  13  12  11  10   9   8│  六非门(OC高压输出) 74LS06
Y = A    )                         │     
         │ 1   2   3   4   5   6   7│
         └┬─┬─┬─┬─┬─┬─┬┘
           1A  1Y  2A  2Y  3A  3Y  GND

驱动器:
          Vcc  6A  6Y  5A  5Y  4A  4Y
         ┌┴─┴─┴─┴─┴─┴─┴┐
         │14  13  12  11  10   9   8│
Y = A    )                         │  六驱动器(OC高压输出) 74LS07
         │ 1   2   3   4   5   6   7│
         └┬─┬─┬─┬─┬─┬─┬┘
           1A  1Y  2A  2Y  3A  3Y  GND

使用特权

评论回复
板凳
金鱼木鱼|  楼主 | 2011-7-28 23:39 | 只看该作者
Vcc -4C  4A  4Y -3C  3A  3Y
         ┌┴─┴─┴─┴─┴─┴─┴┐
      _  │14  13  12  11  10   9   8│
Y =A+C   )                         │  四总线三态门 74LS125
         │ 1   2   3   4   5   6   7│
         └┬─┬─┬─┬─┬─┬─┬┘
          -1C  1A  1Y -2C  2A  2Y  GND

  Vcc  -G  B1  B2  B3  B4  B8  B6  B7  B8
┌┴─┴─┴─┴─┴─┴─┴─┴─┴─┴┐    8位总线驱动器 74LS245
│20  19  18  17  16  15  14  13  12  11│
  )                                     │    DIR=1    A=>B
│ 1   2   3   4   5   6   7   8   9  10│    DIR=0    B=>A
└┬─┬─┬─┬─┬─┬─┬─┬─┬─┬┘
   DIR A1  A2  A3  A4  A5  A6  A7  A8  GND


页首 非门,驱动器 与门,与非门 或门,或非门 异或门,比较器 译码器 寄存器

使用特权

评论回复
地板
金鱼木鱼|  楼主 | 2011-7-28 23:39 | 只看该作者
正逻辑与门,与非门:

          Vcc  4B  4A  4Y  3B  3A  3Y
         ┌┴─┴─┴─┴─┴─┴─┴┐
         │14  13  12  11  10   9   8│
Y = AB   )                         │    2输入四正与门 74LS08
         │ 1   2   3   4   5   6   7│
         └┬─┬─┬─┬─┬─┬─┬┘
           1A  1B  1Y  2A  2B  2Y  GND

          Vcc  4B  4A  4Y  3B  3A  3Y
         ┌┴─┴─┴─┴─┴─┴─┴┐
     __  │14  13  12  11  10   9   8│
Y = AB   )                         │    2输入四正与非门 74LS00
         │ 1   2   3   4   5   6   7│
         └┬─┬─┬─┬─┬─┬─┬┘
           1A  1B  1Y  2A  2B  2Y  GND

使用特权

评论回复
5
金鱼木鱼|  楼主 | 2011-7-28 23:39 | 只看该作者
Vcc  1C  1Y  3C  3B  3A  3Y
         ┌┴─┴─┴─┴─┴─┴─┴┐
     ___ │14  13  12  11  10   9   8│
Y = ABC  )                         │    3输入三正与非门 74LS10
         │ 1   2   3   4   5   6   7│
         └┬─┬─┬─┬─┬─┬─┬┘
           1A  1B  2A  2B  2C  2Y  GND

          Vcc       H   G          Y
         ┌┴─┴─┴─┴─┴─┴─┴┐
         │14  13  12  11  10   9   8│
          )                          │    8输入与非门 74LS30
         │ 1   2   3   4   5   6   7│      ________
         └┬─┬─┬─┬─┬─┬─┬┘  Y = ABCDEFGH
            A   B   C   D   E   F  GND

使用特权

评论回复
6
金鱼木鱼|  楼主 | 2011-7-28 23:39 | 只看该作者
页首 非门,驱动器 与门,与非门 或门,或非门 异或门,比较器 译码器 寄存器

正逻辑或门,或非门:

  Vcc  4B  4A  4Y  3B  3A  3Y
┌┴─┴─┴─┴─┴─┴─┴┐  2输入四或门 74LS32
│14  13  12  11  10   9   8│
  )                         │    Y = A+B
│ 1   2   3   4   5   6   7│
└┬─┬─┬─┬─┬─┬─┬┘
   1A  1B  1Y  2A  2B  2Y  GND

  Vcc  4Y  4B  4A  3Y  3B  3A
┌┴─┴─┴─┴─┴─┴─┴┐  2输入四或非门 74LS02
│14  13  12  11  10   9   8│       ___
  )                         │   Y = A+B
│ 1   2   3   4   5   6   7│
└┬─┬─┬─┬─┬─┬─┬┘
   1Y  1A  1B  2Y  2A  2B  GND

使用特权

评论回复
7
金鱼木鱼|  楼主 | 2011-7-28 23:40 | 只看该作者
Vcc  2Y  2B  2A  2D  2E  1F
┌┴─┴─┴─┴─┴─┴─┴┐  双与或非门 74S51
│14  13  12  11  10   9   8│       _____
  )                         │  2Y = AB+DE
│ 1   2   3   4   5   6   7│       _______
└┬─┬─┬─┬─┬─┬─┬┘  1Y = ABC+DEF
   1Y  1A  1B  1C  1D  1E  GND

  Vcc   D   C   B   K   J  Y
┌┴─┴─┴─┴─┴─┴─┴┐  4-2-3-2与或非门 74S64    74S65(OC门)
│14  13  12  11  10   9   8│      ______________
  )                         │  Y = ABCD+EF+GHI+JK
│ 1   2   3   4   5   6   7│
└┬─┬─┬─┬─┬─┬─┬┘
    A   E   F   G   H   I  GND

页首 非门,驱动器 与门,与非门 或门,或非门 异或门,比较器 译码器 寄存器

使用特权

评论回复
8
金鱼木鱼|  楼主 | 2011-7-28 23:40 | 只看该作者
2输入四异或门 74LS86

  Vcc  4B  4A  4Y  3Y  3B  3A
┌┴─┴─┴─┴─┴─┴─┴┐
│14  13  12  11  10   9   8│
  )                         │    _   _
│ 1   2   3   4   5   6   7│  Y=AB+AB
└┬─┬─┬─┬─┬─┬─┬┘
   1A  1B  1Y  2Y  2A  2B  GND


8*2输入比较器 74LS688

       _
  Vcc  Y   B8  A8  B7  A7  B6  A6  B5  A5
┌┴─┴─┴─┴─┴─┴─┴─┴─┴─┴┐    8*2输入比较器 74LS688
│20  19  18  17  16  15  14  13  12  11│
  )                                     │
│ 1   2   3   4   5   6   7   8   9  10│     
└┬─┬─┬─┬─┬─┬─┬─┬─┬─┬┘
   CE  A1  B1  A2  B2  A3  B3  A4  B4  GND
    _
    Y=A1⊙B1+A2⊙B2+A3⊙B3+A4⊙B4+A5⊙B5+A6⊙B6+A7⊙B7+A8⊙B8

使用特权

评论回复
9
金鱼木鱼|  楼主 | 2011-7-28 23:40 | 只看该作者
页首 非门,驱动器 与门,与非门 或门,或非门 异或门,比较器 译码器 寄存器


3-8译码器 74LS138
  Vcc -Y0 -Y1 -Y2 -Y3 -Y4 -Y5 -Y6    __ _ _ _   __ _ _     __ _   _   __ _
┌┴─┴─┴─┴─┴─┴─┴─┴┐  Y0=A B C   Y1=A B B   Y2=A B C   Y3=A B C
│16  15  14  13  12  11  10  9 │
  )                             │  __   _ _   __   _     __     _   __
│ 1   2   3   4   5   6   7   8│  Y4=A B C   Y5=A B C   Y6=A B C   Y7=A B C
└┬─┬─┬─┬─┬─┬─┬─┬┘
   A   B   C -CS0 -CS1 CS2 -Y7 GND


双2-4译码器 74LS139
  Vcc -2G  2A  2B -Y0 -Y1 -Y2 -Y3    __ __ __   __ __      __    __   __
┌┴─┴─┴─┴─┴─┴─┴─┴┐  Y0=2A 2B   Y1=2A 2B   Y2=2A 2B   Y3=2A 2B
│16  15  14  13  12  11  10  9 │
  )                             │  __ __ __   __ __      __    __   __
│ 1   2   3   4   5   6   7   8│  Y0=1A 1B   Y1=1A 1B   Y2=1A 1B   Y3=1A 1B
└┬─┬─┬─┬─┬─┬─┬─┬┘
   -1G 1A  1B -Y0 -Y1 -Y2 -Y3  GND

使用特权

评论回复
10
金鱼木鱼|  楼主 | 2011-7-28 23:40 | 只看该作者
8*2输入比较器 74LS688

       _
  Vcc  Y   B8  A8  B7  A7  B6  A6  B5  A5
┌┴─┴─┴─┴─┴─┴─┴─┴─┴─┴┐    8*2输入比较器 74LS688
│20  19  18  17  16  15  14  13  12  11│
  )                                     │
│ 1   2   3   4   5   6   7   8   9  10│     
└┬─┬─┬─┬─┬─┬─┬─┬─┬─┬┘
   CE  A1  B1  A2  B2  A3  B3  A4  B4  GND
    _
    Y=A1⊙B1+A2⊙B2+A3⊙B3+A4⊙B4+A5⊙B5+A6⊙B6+A7⊙B7+A8⊙B8


寄存器:
  Vcc 2CR  2D 2Ck 2St  2Q -2Q
┌┴─┴─┴─┴─┴─┴─┴┐    双D触发器 74LS74
│14  13  12  11  10  9   8 │
  )                         │
│ 1   2   3   4   5   6   7│
└┬─┬─┬─┬─┬─┬─┬┘
   1Cr 1D 1Ck  1St 1Q -1Q  GND

  Vcc  8Q  8D  7D  7Q  6Q  6D  5D  5Q  ALE
┌┴─┴─┴─┴─┴─┴─┴─┴─┴─┴┐    8位锁存器 74LS373
│20  19  18  17  16  15  14  13  12  11│
  )                                     │
│ 1   2   3   4   5   6   7   8   9  10│
└┬─┬─┬─┬─┬─┬─┬─┬─┬─┬┘
   -OE 1Q  1D  2D  2Q  3Q  3D  4D  4Q  GND

--------------------------------------------------------------------------------

型号        器件名称                厂牌
SN7400四2输入端与非门
SN7401四2输入端与非门(OC)
SN7402四2输入端或非门        TI
SN7403四2输入端与非门(OC)        TI
SN7404六反相器        TI
SN7405六反相器(OC)        TI
SN7406六高压输出反相器(OC,30V)        TI
SN7407六高压输出缓冲,驱动器(OC,30V)        TI
SN7408四2输入端与门        TI
SN7409四2输入端与门(OC)        TI
SN7410三3输入端与非门        TI
SN7412三3输入端与非门(OC)        TI
SN7413双4输入端与非门        TI
SN7414六反相器        TI
SN7416六高压输出反相缓冲/驱动器        TI
SN7417六高压输出缓冲/驱动器(OC,15V)        TI
SN7420双4输入端与非门        TI
SN7422双4输入端与非门(OC)        TI
SN7423可扩展双4输入端或非门        TI
SN7425双4输入端或非门        TI
SN7426四2输入端高压输出与非缓冲器        TI
SN7427三3输入端或非门        TI
SN7428四2输入端或非缓冲器        TI
SN74308输入端与非门        TI
SN7432四2输入端或门

使用特权

评论回复
11
金鱼木鱼|  楼主 | 2011-7-28 23:40 | 只看该作者
常见数字逻辑器件中文注解

74系列::
74LS00   TTL    2输入端四与非门
74LS01   TTL    集电极开路2输入端四与非门
74LS02   TTL    2输入端四或非门
74LS03   TTL    集电极开路2输入端四与非门
74LS04   TTL    六反相器
74LS05   TTL    集电极开路六反相器
74LS06   TTL    集电极开路六反相高压驱动器
74LS07   TTL    集电极开路六正相高压驱动器
74LS08   TTL    2输入端四与门
74LS09   TTL    集电极开路2输入端四与门
74LS10   TTL    3输入端3与非门
74LS107  TTL    带清除主从双J-K触发器
74LS109  TTL    带预置清除正触发双J-K触发器
74LS11   TTL    3输入端3与门
74LS112  TTL    带预置清除负触发双J-K触发器
74LS12   TTL    开路输出3输入端三与非门
74LS121  TTL    单稳态多谐振荡器
74LS122  TTL   可再触发单稳态多谐振荡器
74LS123  TTL   双可再触发单稳态多谐振荡器
74LS125  TTL   三态输出高有效四总线缓冲门
74LS126  TTL   三态输出低有效四总线缓冲门
74LS13   TTL   4输入端双与非施密特触发器
74LS132  TTL   2输入端四与非施密特触发器
74LS133  TTL   13输入端与非门
74LS136  TTL   四异或门
74LS138  TTL   3-8线译码器/复工器
74LS139  TTL   双2-4线译码器/复工器
74LS14   TTL   六反相施密特触发器
74LS145  TTL   BCD—十进制译码/驱动器
74LS15   TTL   开路输出3输入端三与门
74LS150  TTL   16选1数据选择/多路开关
74LS151  TTL   8选1数据选择器
74LS153  TTL   双4选1数据选择器
74LS154  TTL   4线—16线译码器
74LS155  TTL   图腾柱输出译码器/分配器
74LS156  TTL   开路输出译码器/分配器
74LS157  TTL   同相输出四2选1数据选择器
74LS158  TTL   反相输出四2选1数据选择器
74LS16   TTL   开路输出六反相缓冲/驱动器
74LS160  TTL   可预置BCD异步清除计数器
74LS161  TTL   可予制四位二进制异步清除计数器
74LS162  TTL   可预置BCD同步清除计数器
74LS163  TTL   可予制四位二进制同步清除计数器
74LS164  TTL   八位串行入/并行输出移位寄存器
74LS165  TTL   八位并行入/串行输出移位寄存器
74LS166  TTL   八位并入/串出移位寄存器
74LS169  TTL   二进制四位加/减同步计数器
74LS17   TTL   开路输出六同相缓冲/驱动器
74LS170  TTL   开路输出4×4寄存器堆
74LS173  TTL   三态输出四位D型寄存器
74LS174  TTL   带公共时钟和复位六D触发器
74LS175  TTL   带公共时钟和复位四D触发器
74LS180  TTL   9位奇数/偶数发生器/校验器
74LS181  TTL   算术逻辑单元/函数发生器
74LS185  TTL   二进制—BCD代码转换器
74LS190  TTL   BCD同步加/减计数器
74LS191  TTL   二进制同步可逆计数器
74LS192  TTL   可预置BCD双时钟可逆计数器
74LS193  TTL   可预置四位二进制双时钟可逆计数器
74LS194  TTL   四位双向通用移位寄存器
74LS195  TTL   四位并行通道移位寄存器
74LS196  TTL   十进制/二-十进制可预置计数锁存器
74LS197  TTL   二进制可预置锁存器/计数器
74LS20   TTL   4输入端双与非门
74LS21   TTL   4输入端双与门
74LS22   TTL   开路输出4输入端双与非门
74LS221  TTL   双/单稳态多谐振荡器
74LS240  TTL   八反相三态缓冲器/线驱动器
74LS241  TTL   八同相三态缓冲器/线驱动器
74LS243  TTL   四同相三态总线收发器
74LS244  TTL   八同相三态缓冲器/线驱动器
74LS245  TTL   八同相三态总线收发器
74LS247  TTL   BCD—7段15V输出译码/驱动器
74LS248  TTL   BCD—7段译码/升压输出驱动器
74LS249  TTL   BCD—7段译码/开路输出驱动器
74LS251  TTL   三态输出8选1数据选择器/复工器
74LS253  TTL   三态输出双4选1数据选择器/复工器
74LS256  TTL   双四位可寻址锁存器
74LS257  TTL   三态原码四2选1数据选择器/复工器
74LS258  TTL   三态反码四2选1数据选择器/复工器
74LS259  TTL   八位可寻址锁存器/3-8线译码器
74LS26   TTL   2输入端高压接口四与非门
74LS260  TTL   5输入端双或非门
74LS266  TTL   2输入端四异或非门
74LS27   TTL   3输入端三或非门
74LS273  TTL   带公共时钟复位八D触发器
74LS279  TTL   四图腾柱输出S-R锁存器
74LS28   TTL   2输入端四或非门缓冲器
74LS283  TTL   4位二进制全加器
74LS290  TTL   二/五分频十进制计数器
74LS293  TTL   二/八分频四位二进制计数器
74LS295  TTL   四位双向通用移位寄存器
74LS298  TTL   四2输入多路带存贮开关
74LS299  TTL   三态输出八位通用移位寄存器
74LS30   TTL   8输入端与非门
74LS32   TTL   2输入端四或门
74LS322  TTL   带符号扩展端八位移位寄存器
74LS323  TTL   三态输出八位双向移位/存贮寄存器
74LS33   TTL   开路输出2输入端四或非缓冲器
74LS347  TTL   BCD—7段译码器/驱动器
74LS352  TTL   双4选1数据选择器/复工器
74LS353  TTL   三态输出双4选1数据选择器/复工器
74LS365  TTL   门使能输入三态输出六同相线驱动器
74LS365  TTL   门使能输入三态输出六同相线驱动器
74LS366  TTL   门使能输入三态输出六反相线驱动器
74LS367  TTL   4/2线使能输入三态六同相线驱动器
74LS368  TTL   4/2线使能输入三态六反相线驱动器
74LS37      TTL   开路输出2输入端四与非缓冲器
74LS373  TTL   三态同相八D锁存器
74LS374  TTL   三态反相八D锁存器
74LS375  TTL   4位双稳态锁存器
74LS377  TTL   单边输出公共使能八D锁存器
74LS378  TTL   单边输出公共使能六D锁存器
74LS379  TTL   双边输出公共使能四D锁存器
74LS38   TTL   开路输出2输入端四与非缓冲器
74LS380  TTL   多功能八进制寄存器
74LS39   TTL   开路输出2输入端四与非缓冲器
74LS390  TTL   双十进制计数器
74LS393  TTL   双四位二进制计数器
74LS40   TTL   4输入端双与非缓冲器
74LS42   TTL   BCD—十进制代码转换器
74LS352  TTL   双4选1数据选择器/复工器
74LS353  TTL   三态输出双4选1数据选择器/复工器
74LS365  TTL   门使能输入三态输出六同相线驱动器
74LS366  TTL   门使能输入三态输出六反相线驱动器
74LS367  TTL   4/2线使能输入三态六同相线驱动器
74LS368  TTL   4/2线使能输入三态六反相线驱动器
74LS37   TTL   开路输出2输入端四与非缓冲器
74LS373  TTL   三态同相八D锁存器
74LS374  TTL   三态反相八D锁存器
74LS375  TTL   4位双稳态锁存器
74LS377  TTL   单边输出公共使能八D锁存器
74LS378  TTL   单边输出公共使能六D锁存器
74LS379  TTL   双边输出公共使能四D锁存器
74LS38   TTL   开路输出2输入端四与非缓冲器
74LS380  TTL   多功能八进制寄存器
74LS39   TTL   开路输出2输入端四与非缓冲器
74LS390  TTL   双十进制计数器
74LS393  TTL   双四位二进制计数器
74LS40   TTL   4输入端双与非缓冲器
74LS42   TTL   BCD—十进制代码转换器
74LS447  TTL   BCD—7段译码器/驱动器
74LS45   TTL   BCD—十进制代码转换/驱动器
74LS450  TTL   16:1多路转接复用器多工器
74LS451  TTL   双8:1多路转接复用器多工器
74LS453  TTL   四4:1多路转接复用器多工器
74LS46   TTL   BCD—7段低有效译码/驱动器
74LS460  TTL   十位比较器
74LS461  TTL   八进制计数器
74LS465  TTL   三态同相2与使能端八总线缓冲器
74LS466  TTL   三态反相2与使能八总线缓冲器
74LS467  TTL   三态同相2使能端八总线缓冲器
74LS468  TTL   三态反相2使能端八总线缓冲器
74LS469  TTL   八位双向计数器
74LS47   TTL   BCD—7段高有效译码/驱动器
74LS48   TTL   BCD—7段译码器/内部上拉输出驱动
74LS490  TTL   双十进制计数器
74LS491  TTL   十位计数器

使用特权

评论回复
12
金鱼木鱼|  楼主 | 2011-7-28 23:40 | 只看该作者
74LS498  TTL   八进制移位寄存器
74LS50   TTL   2-3/2-2输入端双与或非门
74LS502  TTL   八位逐次逼近寄存器
74LS503  TTL   八位逐次逼近寄存器
74LS51   TTL   2-3/2-2输入端双与或非门
74LS533  TTL   三态反相八D锁存器
74LS534  TTL   三态反相八D锁存器
74LS54   TTL   四路输入与或非门
74LS540  TTL   八位三态反相输出总线缓冲器
74LS55   TTL   4输入端二路输入与或非门
74LS563  TTL   八位三态反相输出触发器
74LS564  TTL   八位三态反相输出D触发器
74LS573  TTL   八位三态输出触发器
74LS574  TTL   八位三态输出D触发器
74LS645  TTL   三态输出八同相总线传送接收器
74LS670  TTL   三态输出4×4寄存器堆
74LS73   TTL   带清除负触发双J-K触发器
74LS74   TTL   带置位复位正触发双D触发器
74LS76   TTL   带预置清除双J-K触发器
74LS83   TTL   四位二进制快速进位全加器
74LS85   TTL   四位数字比较器
74LS86   TTL   2输入端四异或门
74LS90   TTL   可二/五分频十进制计数器
74LS93   TTL   可二/八分频二进制计数器
74LS95   TTL   四位并行输入\\输出移位寄存器
74LS97   TTL   6位同步二进制乘法器

使用特权

评论回复
13
金鱼木鱼|  楼主 | 2011-7-28 23:41 | 只看该作者
CD系列::
CD4000 双3输入端或非门+单非门 TI
CD4001 四2输入端或非门 HIT/NSC/TI/GOL
CD4002 双4输入端或非门 NSC
CD4006 18位串入/串出移位寄存器 NSC
CD4007 双互补对加反相器 NSC
CD4008 4位超前进位全加器 NSC
CD4009 六反相缓冲/变换器 NSC
CD4010 六同相缓冲/变换器 NSC
CD4011 四2输入端与非门 HIT/TI
CD4012 双4输入端与非门 NSC
CD4013 双主-从D型触发器 FSC/NSC/TOS
CD4014 8位串入/并入-串出移位寄存器 NSC
CD4015 双4位串入/并出移位寄存器 TI
CD4016 四传输门 FSC/TI
CD4017 十进制计数/分配器 FSC/TI/MOT
CD4018 可预制1/N计数器 NSC/MOT
CD4019 四与或选择器 PHI
CD4020 14级串行二进制计数/分频器 FSC
CD4021 08位串入/并入-串出移位寄存器 PHI/NSC
CD4022 八进制计数/分配器 NSC/MOT
CD4023 三3输入端与非门 NSC/MOT/TI
CD4024 7级二进制串行计数/分频器 NSC/MOT/TI
CD4025 三3输入端或非门 NSC/MOT/TI
CD4026 十进制计数/7段译码器 NSC/MOT/TI
CD4027 双J-K触发器 NSC/MOT/TI
CD4028 BCD码十进制译码器 NSC/MOT/TI
CD4029 可预置可逆计数器 NSC/MOT/TI
CD4030 四异或门 NSC/MOT/TI/GOL
CD4031 64位串入/串出移位存储器 NSC/MOT/TI
CD4032 三串行加法器 NSC/TI
CD4033 十进制计数/7段译码器 NSC/TI
CD4034 8位通用总线寄存器 NSC/MOT/TI
CD4035 4位并入/串入-并出/串出移位寄存 NSC/MOT/TI
CD4038 三串行加法器 NSC/TI
CD4040 12级二进制串行计数/分频器 NSC/MOT/TI
CD4041 四同相/反相缓冲器 NSC/MOT/TI
CD4042 四锁存D型触发器 NSC/MOT/TI
CD4043 4三态R-S锁存触发器("1"触发) NSC/MOT/TI
CD4044 四三态R-S锁存触发器("0"触发) NSC/MOT/TI
CD4046 锁相环 NSC/MOT/TI/PHI
CD4047 无稳态/单稳态多谐振荡器 NSC/MOT/TI
CD4048 4输入端可扩展多功能门 NSC/HIT/TI
CD4049 六反相缓冲/变换器 NSC/HIT/TI
CD4050 六同相缓冲/变换器 NSC/MOT/TI
CD4051 八选一模拟开关 NSC/MOT/TI
CD4052 双4选1模拟开关 NSC/MOT/TI
CD4053 三组二路模拟开关 NSC/MOT/TI
CD4054 液晶显示驱动器 NSC/HIT/TI
CD4055 BCD-7段译码/液晶驱动器 NSC/HIT/TI
CD4056 液晶显示驱动器 NSC/HIT/TI
CD4059 “N”分频计数器 NSC/TI
CD4060 14级二进制串行计数/分频器 NSC/TI/MOT
CD4063 四位数字比较器 NSC/HIT/TI
CD4066 四传输门 NSC/TI/MOT
CD4067 16选1模拟开关 NSC/TI
CD4068 八输入端与非门/与门 NSC/HIT/TI
CD4069 六反相器 NSC/HIT/TI
CD4070 四异或门 NSC/HIT/TI
CD4071 四2输入端或门 NSC/TI
CD4072 双4输入端或门 NSC/TI
CD4073 三3输入端与门 NSC/TI
CD4075 三3输入端或门 NSC/TI
CD4076 四D寄存器
CD4077 四2输入端异或非门 HIT
CD4078 8输入端或非门/或门
CD4081 四2输入端与门 NSC/HIT/TI
CD4082 双4输入端与门 NSC/HIT/TI
CD4085 双2路2输入端与或非门
CD4086 四2输入端可扩展与或非门
CD4089 二进制比例乘法器

使用特权

评论回复
14
金鱼木鱼|  楼主 | 2011-7-28 23:41 | 只看该作者
CD4093 四2输入端施密特触发器 NSC/MOT/ST
CD4094 8位移位存储总线寄存器 NSC/TI/PHI
CD4095 3输入端J-K触发器
CD4096 3输入端J-K触发器
CD4097 双路八选一模拟开关
CD4098 双单稳态触发器 NSC/MOT/TI
CD4099 8位可寻址锁存器 NSC/MOT/ST
CD40100 32位左/右移位寄存器
CD40101 9位奇偶较验器
CD40102 8位可预置同步BCD减法计数器
CD40103 8位可预置同步二进制减法计数器
CD40104 4位双向移位寄存器
CD40105 先入先出FI-FD寄存器
CD40106 六施密特触发器 NSC\\TI
CD40107 双2输入端与非缓冲/驱动器 HAR\\TI
CD40108 4字×4位多通道寄存器
CD40109 四低-高电平位移器
CD40110 十进制加/减,计数,锁存,译码驱动 ST
CD40147 10-4线编码器 NSC\\MOT
CD40160 可预置BCD加计数器 NSC\\MOT
CD40161 可预置4位二进制加计数器 NSC\\MOT
CD40162 BCD加法计数器 NSC\\MOT
CD40163 4位二进制同步计数器 NSC\\MOT
CD40174 六锁存D型触发器 NSC\\TI\\MOT
CD40175 四D型触发器 NSC\\TI\\MOT
CD40181 4位算术逻辑单元/函数发生器
CD40182 超前位发生器
CD40192 可预置BCD加/减计数器(双时钟) NSC\\TI
CD40193 可预置4位二进制加/减计数器 NSC\\TI
CD40194 4位并入/串入-并出/串出移位寄存 NSC\\MOT
CD40195 4位并入/串入-并出/串出移位寄存 NSC\\MOT
CD40208 4×4多端口寄存器

型号 器件名称 厂牌 备注

CD4501 4输入端双与门及2输入端或非门
CD4502 可选通三态输出六反相/缓冲器
CD4503 六同相三态缓冲器
CD4504 六电压转换器
CD4506 双二组2输入可扩展或非门
CD4508 双4位锁存D型触发器
CD4510 可预置BCD码加/减计数器
CD4511 BCD锁存,7段译码,驱动器
CD4512 八路数据选择器
CD4513 BCD锁存,7段译码,驱动器(消隐)
CD4514 4位锁存,4线-16线译码器
CD4515 4位锁存,4线-16线译码器
CD4516 可预置4位二进制加/减计数器
CD4517 双64位静态移位寄存器
CD4518 双BCD同步加计数器
CD4519 四位与或选择器
CD4520 双4位二进制同步加计数器
CD4521 24级分频器
CD4522 可预置BCD同步1/N计数器
CD4526 可预置4位二进制同步1/N计数器
CD4527 BCD比例乘法器
CD4528 双单稳态触发器
CD4529 双四路/单八路模拟开关
CD4530 双5输入端优势逻辑门
CD4531 12位奇偶校验器
CD4532 8位优先编码器

使用特权

评论回复
15
金鱼木鱼|  楼主 | 2011-7-28 23:41 | 只看该作者
CD4536 可编程定时器
CD4538 精密双单稳
CD4539 双四路数据选择器
CD4541 可编程序振荡/计时器
CD4543 BCD七段锁存译码,驱动器
CD4544 BCD七段锁存译码,驱动器
CD4547 BCD七段译码/大电流驱动器
CD4549 函数近似寄存器
CD4551 四2通道模拟开关
CD4553 三位BCD计数器
CD4555 双二进制四选一译码器/分离器
CD4556 双二进制四选一译码器/分离器
CD4558 BCD八段译码器
CD4560 "N"BCD加法器
CD4561 "9"求补器
CD4573 四可编程运算放大器
CD4574 四可编程电压比较器
CD4575 双可编程运放/比较器
CD4583 双施密特触发器
CD4584 六施密特触发器
CD4585 4位数值比较器
CD4599 8位可寻址锁存器

使用特权

评论回复
16
金鱼木鱼|  楼主 | 2011-7-28 23:41 | 只看该作者
74系列芯片功能大全
  7400 TTL 2输入端四与非门
  7401 TTL 集电极开路2输入端四与非门
  7402 TTL 2输入端四或非门
  7403 TTL 集电极开路2输入端四与非门
  7404 TTL 六反相器
  7405 TTL 集电极开路六反相器
  7406 TTL 集电极开路六反相高压驱动器
  7407 TTL 集电极开路六正相高压驱动器
  7408 TTL 2输入端四与门
  7409 TTL 集电极开路2输入端四与门
  7410 TTL 3输入端3与非门
  74107 TTL 带清除主从双J-K触发器
  74109 TTL 带预置清除正触发双J-K触发器
  7411 TTL 3输入端3与门
  74112 TTL 带预置清除负触发双J-K触发器
  7412 TTL 开路输出3输入端三与非门
  74121 TTL 单稳态多谐振荡器
  74122 TTL 可再触发单稳态多谐振荡器
  74123 TTL 双可再触发单稳态多谐振荡器
  74125 TTL 三态输出高有效四总线缓冲门
  74126 TTL 三态输出低有效四总线缓冲门
  7413 TTL 4输入端双与非施密特触发器
  74132 TTL 2输入端四与非施密特触发器
  74133 TTL 13输入端与非门
  74136 TTL 四异或门
  74138 TTL 3-8线译码器/复工器
  74139 TTL 双2-4线译码器/复工器
  7414 TTL 六反相施密特触发器
  74145 TTL BCD—十进制译码/驱动器
  7415 TTL 开路输出3输入端三与门
  74150 TTL 16选1数据选择/多路开关
  74151 TTL 8选1数据选择器
  74153 TTL 双4选1数据选择器
  74154 TTL 4线—16线译码器
  74155 TTL 图腾柱输出译码器/分配器
  74156 TTL 开路输出译码器/分配器
  74157 TTL 同相输出四2选1数据选择器
  74158 TTL 反相输出四2选1数据选择器
  7416 TTL 开路输出六反相缓冲/驱动器
  74160 TTL 可预置BCD异步清除计数器
  74161 TTL 可予制四位二进制异步清除计数器
  74162 TTL 可预置BCD同步清除计数器
  74163 TTL 可予制四位二进制同步清除计数器
  74164 TTL 八位串行入/并行输出移位寄存器
  74165 TTL 八位并行入/串行输出移位寄存器
  74166 TTL 八位并入/串出移位寄存器
  74169 TTL 二进制四位加/减同步计数器
  7417 TTL 开路输出六同相缓冲/驱动器
  74170 TTL 开路输出4×4寄存器堆
  74173 TTL 三态输出四位D型寄存器
  74174 TTL 带公共时钟和复位六D触发器
  74175 TTL 带公共时钟和复位四D触发器
  74180 TTL 9位奇数/偶数发生器/校验器
  74181 TTL 算术逻辑单元/函数发生器
  74185 TTL 二进制—BCD代码转换器
  74190 TTL BCD同步加/减计数器
  74191 TTL 二进制同步可逆计数器
  74192 TTL 可预置BCD双时钟可逆计数器
  74193 TTL 可预置四位二进制双时钟可逆计数器
  74194 TTL 四位双向通用移位寄存器
  74195 TTL 四位并行通道移位寄存器
  74196 TTL 十进制/二-十进制可预置计数锁存器
  74197 TTL 二进制可预置锁存器/计数器
  7420 TTL 4输入端双与非门
  7421 TTL 4输入端双与门
  7422 TTL 开路输出4输入端双与非门
  74221 TTL 双/单稳态多谐振荡器
  74240 TTL 八反相三态缓冲器/线驱动器
  74241 TTL 八同相三态缓冲器/线驱动器
  74243 TTL 四同相三态总线收发器
  74244 TTL 八同相三态缓冲器/线驱动器
  74245 TTL 八同相三态总线收发器
  74247 TTL BCD—7段15V输出译码/驱动器
  74248 TTL BCD—7段译码/升压输出驱动器
  74249 TTL BCD—7段译码/开路输出驱动器
  74251 TTL 三态输出8选1数据选择器/复工器
  74253 TTL 三态输出双4选1数据选择器/复工器
  74256 TTL 双四位可寻址锁存器
  74257 TTL 三态原码四2选1数据选择器/复工器
  74258 TTL 三态反码四2选1数据选择器/复工器
  74259 TTL 八位可寻址锁存器/3-8线译码器
  7426 TTL 2输入端高压接口四与非门
  74260 TTL 5输入端双或非门
  74266 TTL 2输入端四异或非门
  7427 TTL 3输入端三或非门
  74273 TTL 带公共时钟复位八D触发器
  74279 TTL 四图腾柱输出S-R锁存器
  7428 TTL 2输入端四或非门缓冲器
  74283 TTL 4位二进制全加器
  74290 TTL 二/五分频十进制计数器
  74293 TTL 二/八分频四位二进制计数器
  74295 TTL 四位双向通用移位寄存器
  74298 TTL 四2输入多路带存贮开关
  74299 TTL 三态输出八位通用移位寄存器
  7430 TTL 8输入端与非门
  7432 TTL 2输入端四或门
  74322 TTL 带符号扩展端八位移位寄存器
  74323 TTL 三态输出八位双向移位/存贮寄存器
  7433 TTL 开路输出2输入端四或非缓冲器
  74347 TTL BCD—7段译码器/驱动器
  74352 TTL 双4选1数据选择器/复工器
  74353 TTL 三态输出双4选1数据选择器/复工器
  74365 TTL 门使能输入三态输出六同相线驱动器
  74365 TTL 门使能输入三态输出六同相线驱动器
  74366 TTL 门使能输入三态输出六反相线驱动器
  74367 TTL 4/2线使能输入三态六同相线驱动器
  74368 TTL 4/2线使能输入三态六反相线驱动器
  7437 TTL 开路输出2输入端四与非缓冲器
  74373 TTL 三态同相八D锁存器
  74374 TTL 三态反相八D锁存器
  74375 TTL 4位双稳态锁存器
  74377 TTL 单边输出公共使能八D锁存器
  74378 TTL 单边输出公共使能六D锁存器
  74379 TTL 双边输出公共使能四D锁存器
  7438 TTL 开路输出2输入端四与非缓冲器
  74380 TTL 多功能八进制寄存器
  7439 TTL 开路输出2输入端四与非缓冲器
  74390 TTL 双十进制计数器
  74393 TTL 双四位二进制计数器
  7440 TTL 4输入端双与非缓冲器
  7442 TTL BCD—十进制代码转换器
  74352 TTL 双4选1数据选择器/复工器
  74353 TTL 三态输出双4选1数据选择器/复工器
  74365 TTL 门使能输入三态输出六同相线驱动器
  74366 TTL 门使能输入三态输出六反相线驱动器
  74367 TTL 4/2线使能输入三态六同相线驱动器
  74368 TTL 4/2线使能输入三态六反相线驱动器
  7437 TTL 开路输出2输入端四与非缓冲器
  74373 TTL 三态同相八D锁存器
  74374 TTL 三态反相八D锁存器
  74375 TTL 4位双稳态锁存器
  74377 TTL 单边输出公共使能八D锁存器
  74378 TTL 单边输出公共使能六D锁存器
  74379 TTL 双边输出公共使能四D锁存器
  7438 TTL 开路输出2输入端四与非缓冲器
  74380 TTL 多功能八进制寄存器
  7439 TTL 开路输出2输入端四与非缓冲器
  74390 TTL 双十进制计数器
  74393 TTL 双四位二进制计数器
  7440 TTL 4输入端双与非缓冲器
  7442 TTL BCD—十进制代码转换器
  74447 TTL BCD—7段译码器/驱动器
  7445 TTL BCD—十进制代码转换/驱动器
  74450 TTL 16:1多路转接复用器多工器
  74451 TTL 双8:1多路转接复用器多工器
  74453 TTL 四4:1多路转接复用器多工器
  7446 TTL BCD—7段低有效译码/驱动器
  74460 TTL 十位比较器
  74461 TTL 八进制计数器
  74465 TTL 三态同相2与使能端八总线缓冲器
  74466 TTL 三态反相2与使能八总线缓冲器
  74467 TTL 三态同相2使能端八总线缓冲器
  74468 TTL 三态反相2使能端八总线缓冲器
  74469 TTL 八位双向计数器
  7447 TTL BCD—7段高有效译码/驱动器
  7448 TTL BCD—7段译码器/内部上拉输出驱动
  74490 TTL 双十进制计数器74491 TTL 十位计数器
  74498 TTL 八进制移位寄存器
  7450 TTL 2-3/2-2输入端双与或非门
  74502 TTL 八位逐次逼近寄存器
  74503 TTL 八位逐次逼近寄存器
  7451 TTL 2-3/2-2输入端双与或非门
  74533 TTL 三态反相八D锁存器
  74534 TTL 三态反相八D锁存器
  7454 TTL 四路输入与或非门
  74540 TTL 八位三态反相输出总线缓冲器
  7455 TTL 4输入端二路输入与或非门
  74563 TTL 八位三态反相输出触发器
  74564 TTL 八位三态反相输出D触发器
  74573 TTL 八位三态输出触发器
  74574 TTL 八位三态输出D触发器
  74645 TTL 三态输出八同相总线传送接收器
  74670 TTL 三态输出4×4寄存器堆
  7473 TTL 带清除负触发双J-K触发器
  7474 TTL 带置位复位正触发双D触发器
  7476 TTL 带预置清除双J-K触发器
  7483 TTL 四位二进制快速进位全加器
  7485 TTL 四位数字比较器
  7486 TTL 2输入端四异或门
  7490 TTL 可二/五分频十进制计数器
  7493 TTL 可二/八分频二进制计数器
  7495 TTL 四位并行输入\\输出移位寄存器
  7497 TTL 6位同步二进制乘法器

使用特权

评论回复
17
金鱼木鱼|  楼主 | 2011-7-28 23:41 | 只看该作者
CD系列门电路
  CD4000 双3输入端或非门
  CD4001 四2输入端或非门
  CD4002 双4输入端或非门
  CD4007 双互补对加反向器
  CD4009 六反向缓冲/变换器
  CD4011 四2输入端与非门
  CD4012 双4输入端与非门
  CD4023 三2输入端与非门
  CD4025 三2输入端与非门
  CD4030 四2输入端异或门
  CD4041 四同相/反向缓冲器
  CD4048 8输入端可扩展多功能门
  CD4049 六反相缓冲/变换器
  CD4050 六同相缓冲/变换器
  CD4068 8输入端与门/与非门
  CD4069 六反相器
  CD4070 四2输入异或门
  CD4071 四2输入端或门
  CD4072 双4输入端或门
  CD4073 三3输入端与门
  CD4075 三3输入端或门
  CD4077 四异或非门
  CD4078 8输入端与非门/或门
  CD4081 四2输入端与门
  CD4082 双4输入端与非门
  CD4085 双2路2输入端与或非门
  CD4086 四2输入端可扩展与或非门
  CD40104 TTL至高电平CMOS转换器
  CD40106 六施密特触发器
  CD40107 双2输入端与非缓冲/驱动器
  CD40109 四低-高电平位移器
  CD4501 三多输入门
  CD4052 六反向缓冲器(三态输出)
  CD4503 六同相缓冲器(三态输出)
  CD4504 6TTL或CMOS同级移相器
  CD4506 双可扩展AIO门
  CD4507 四异或门
  CD4519 4位与/或选择器
  CD4530 双5输入多数逻辑门
  CD4572 四反向器加二输入或非门加二输入与非门
  CD4599 8位可寻址锁存器
  ***************************************************
  触发器
  CD4013 双D触发器
  CD4027 双JK触发器
  CD4042 四锁存D型触发器
  CD4043 四三态R-S锁存触发器(“1”触发)
  CD4044 四三态R-S锁存触发器(“0”触发)
  CD4047 单稳态触发/无稳多谐振荡器
  CD4093 四2输入端施密特触发器
  CD4098 双单稳态触发器
  CD4099 8位可寻址锁存器
  CD4508 双4位锁存触发器
  CD4528 双单稳态触发器(与CD4098管脚相同,只是3、13脚复位开关为高电平有效)
  CD4538 精密单稳多谐振荡器
  CD4583 双施密特触发器
  CD4584 六施密特触发器
  CD4599 8位可寻址锁存器
  ***************************************************
  计数器
  CD4017 十进制计数/分配器
  CD4020 14位二进制串行计数器/分频器
  CD4022 八进制计数/分配器
  CD4024 7位二进制串行计数器/分频器
  CD4029 可预置数可逆计数器(4位二进制或BCD码)
  CD4040 12二进制串行计数器/分频器
  CD4045 12位计数/缓冲器
  CD4059 四十进制N分频器
  CD4060 14二进制串行计数器/分频器和振荡器
  CD4095 3输入端J-K触发器(相同J-K输入端)
  CD4096 3输入端J-K触发器(相反和相同J-K输入端)
  CD40110 十进制加/减计数/锁存/7端译码/驱动器
  CD40160 可预置数BCD加计数器(异步复位)
  CD40161 可预置数4位二进制加计数器(R非=0时,CP上脉冲复位)(异步复位)
  CD40162 可预置数BCD加计数器(同步复位)
  CD40163 可预置数4位二进制加计数器(R非=0时,CP上脉冲复位)(同步复位)
  CD40192 可预置数BCD加/减计数器
  CD40193 可预置数4位二进制加/减计数器
  CD4510 可预置BCD加/减计数器
  CD4516 可预置4位二进制加/减计数器
  CD4518 双BCD同步加计数器
  CD4520 双同步4位二进制加计数器
  CD4521 24级频率分频器
  CD4522 可预置数BCD同步1/N加计数器
  CD4526 可预置数4位二进制同步1/N加计数器
  CD4534 实时与译码计数器
  CD4536 可编程定时器
  CD4541 可编程定时器
  CD4553 3数字BCD计数器
  CD4568 相位比较器/可编程计数器
  CD4569 双可预置BCD/二进制计数器
  CD4597 8位总线相容计数/锁存器
  CD4598 8位总线相容可建地址锁存器
  ***************************************************
  译码器
  CD4511 BCD锁存/7段译码器/驱动器
  CD4514 4位锁存/4-16线译码器
  CD4515 4位锁存/4-16线译码器(负逻辑输出)
  CD4026 十进制计数/7段译码器(适用于时钟计时电路,利用C端的功能可方便的实现60或12分频)
  CD4028 BCD-十进制译码器
  CD4033 十进制计数/7段译码器
  CD4054 4位液晶显示驱动
  CD4055 BCD-7段码/液晶驱动
  CD4056 BCD-7段码/驱动
  CD40102 8位可预置同步减法计时器(BCD)
  CD40103 8位可预置同步减法计时器(二进制)
  CD4513 BCD-锁存/7端译码/驱动器(无效“0”不显)
  CD4514 4位锁存/4线—16线译码器(输出“1”)
  CD4515 4位锁存/4线—16线译码器(输出“0”)
  CD4543 BCD-锁存/7段译码/驱动器
  CD4544 BCD-锁存/7段译码/驱动器——波动闭锁
  CD4547 BCD-锁存/7段译码/大电流驱动器
  CD4555 双二进制4选1译码器/分离器(输出“1”)
  CD4556 双二进制4选1译码器/分离器(输出“0”)
  CD4558 BCD-7段译码
  CD4555 双二进制4选1译码器/分离器
  CD4556 双二进制4选1译码器/分离器(负逻辑输出)
  ***************************************************
  移位寄存器
  CD4006 18位串入—串出移位寄存器
  CD4014 8位串入/并入—串出移位寄存器
  CD4015 双4位串入—并出移位寄存器
  CD4021 8位串入/并入—串出移位寄存器
  CD4031 64位移位寄存器
  CD4034 8位通用总线寄存器
  CD4035 4位串入/并入—串出/并出移位寄存器
  CD4076 4线D型寄存器
  CD4094 8位移位/存储总线寄存器
  CD40100 32位左移/右移
  CD40105 先进先出寄存器
  CD40108 4×4多端口寄存器阵列
  CD40194 4位并入/串入—并出/串出移位寄存器(左移/右移)
  CD40195 4位并入/串入—并出/串出移位寄存器
  CD4517 64位移位寄存器
  CD45490 连续的近似值寄存器
  CD4562 128位静态移位寄存器
  CD4580 4×4多端寄存器
  ***************************************************
  模拟开关和数据选择器
  CD4016 四联双向开关
  CD4019 四与或选择器【Qn=(An*Ka)+(Bn*Kb)】
  CD4051 单八路模拟开关
  CD4052 双4路模拟开关
  CD4053 三2路模拟开关
  CD4066 四双向模拟开关
  CD4067 单十六路模拟开关
  CD4097 双八路模拟开关
  CD40257 四2选1数据选择器
  CD4512 八路数据选择器
  CD4529 双四路/单八路模拟开关
  CD4539 双四路数据选择器
  CD4551 四2通道模拟多路传输
  ***************************************************
  运算电路
  CD4008 4位超前进位全加器
  CD4019 四与或选择器【Qn=(An*Ka)+(Bn*Kb)】
  CD4527 BCD比例乘法器
  CD4032 三路串联加法器
  CD4038 三路串联加法器(负逻辑)
  CD4063 四位量级比较器
  CD4070 四2输入异或门
  CD4585 4位数值比较器
  CD4089 4位二进制比例乘法器
  CD40101 9位奇偶发生器/校验器
  CD4527 BCD比例乘法器
  CD4531 12位奇偶数
  CD4559 逐次近似值码器
  CD4560 “N”BCD加法器
  CD4561 “9”求补器
  CD4581 4位算术逻辑单元
  CD4582 超前进位发生器
  CD4585 4位数值比较器
  ***************************************************
  存储器
  CD4049 4字×8位随机存取存储器
  CD4505 64×1位RAM
  CD4537 256×1静态随机存取存储器
  CD4552 256位RAM
  ***************************************************
  特殊电路
  CD4046 锁相环集成电路
  CD4532 8位优先编码器
  CD4500 工业控制单元
  CD4566 工业时基发生器
  CD4573 可预置运算放大器
  CD4574 比较器、线性、双对双运放
  CD4575 双/双预置运放/比较器
  CD4597 8位总线相容计数/锁存器
  CD4598 8位总线相容可建地址锁存器

使用特权

评论回复
18
batsong| | 2011-7-29 08:28 | 只看该作者
果断ctrl+s,另提醒大家,protues里面查这些常用器件更方便

使用特权

评论回复
19
junming6385| | 2011-7-29 10:28 | 只看该作者
:handshake谢谢

使用特权

评论回复
20
vivier11| | 2011-8-8 13:17 | 只看该作者
好长啊

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

个人签名:http://bbs.srvee.com/forum-104-1.html

346

主题

1551

帖子

2

粉丝