打印
[PIC®/AVR®/dsPIC®产品]

877a驱动max7219时点阵闪烁问题

[复制链接]
1434|16
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
jinwe|  楼主 | 2019-10-12 21:08 | 只看该作者 |只看大图 回帖奖励 |倒序浏览 |阅读模式
max7219驱动4块8*8组成8*32点阵时,总是第三块有闪烁,好像是第一块的数据在此闪一下。请问是代码写的问题吗?谢谢了!
#include <pic.h>
__CONFIG(HS&WDTDIS&LVPDIS);

#define INT8U   unsigned char
#define _XTAL_FREQ 4000000UL

//定义Max7219端口
#define DIN RB0
#define CS  RB1
#define CLK RB2

const INT8U disp1[10][8]={

{0x3C,0x42,0x42,0x42,0x42,0x42,0x42,0x3C},//0

{0x18,0x28,0x08,0x08,0x08,0x08,0x08,0x08},//1

{0x3c,0x42,0x42,0x02,0x1c,0x20,0x40,0x7E},//2

{0x3c,0x42,0x42,0x0c,0x2,0x42,0x42,0x3c},//3

{0x28,0x28,0x48,0x48,0x7e,0x8,0x8,0x8},//4

{0x3e,0x40,0x40,0x7c,0x2,0x2,0x42,0x3c},//5

{0x3e,0x42,0x40,0x7C,0x42,0x42,0x42,0x3c},//6

{0x7E,0x02,0x4,0x8,0x8,0x8,0x8,0x8},//7

{0x3c,0x42,0x42,0x3c,0x42,0x42,0x42,0x3c},//8

{0x3c,0x42,0x42,0x42,0x3e,0x2,0x42,0x3c}//9
};


//-----------------------------------------------------------------------------
//向MAX7219写入字节
//-----------------------------------------------------------------------------
void Write_Max7219_byte(INT8U DATA)         

{
        INT8U i;   
        CS=0;                                //CS=0有效,CS=1锁存       
        for(i=8;i>=1;i--)
    {
            CLK=0;
            if(DATA & 0x80) DIN=1; else DIN=0;
                //DIN=(DATA&0x80);  //&10000000, 编译器对位操作的理解:非0即为1
                DATA=DATA<<1;
                CLK=1;                    //上升沿把数据送出去
        }                                 
}

//------------------------------------------------------------------
//向M第一片MAX7219写入数据
//------------------------------------------------------------------
void Write_Max7219_1(INT8U add1,INT8U dat1)
{
     CS=0;
         Write_Max7219_byte(add1);           //写入地址,即数码管编号
     Write_Max7219_byte(dat1);               //写入数据,即数码管显示数字
         CS=1;                        
}

//---------------------------------------------------------------------
//第二片MAX7219的写入数据
//---------------------------------------------------------------------
void Write_Max7219_2(INT8U add2,INT8U dat2)
{
        CS=0;
        Write_Max7219_byte(add2);
        Write_Max7219_byte(dat2);
        CLK=1;
        Write_Max7219_byte(0x00);  //片1写入空
        Write_Max7219_byte(0x00);
        CS=1;
}

//---------------------------------------------------------------------
//第三片MAX7219的写入数据
//---------------------------------------------------------------------
void Write_Max7219_3(INT8U add3,INT8U dat3)
{
        CS=0;
        Write_Max7219_byte(add3);
        Write_Max7219_byte(dat3);
        CLK=1;
        Write_Max7219_byte(0x00); //片1写入空
        Write_Max7219_byte(0x00);
        Write_Max7219_byte(0x00); //片2写入空
        Write_Max7219_byte(0x00);
        CS=1;
}

//---------------------------------------------------------------------
//第四片MAX7219的写入数据
//---------------------------------------------------------------------
void Write_Max7219_4(INT8U add4,INT8U dat4)
{
        CS=0;
        Write_Max7219_byte(add4);
        Write_Max7219_byte(dat4);
        CLK=1;
        Write_Max7219_byte(0x00); //片1写入空
        Write_Max7219_byte(0x00);
        Write_Max7219_byte(0x00); //片2写入空
        Write_Max7219_byte(0x00);
        Write_Max7219_byte(0x00); //片3写入空
        Write_Max7219_byte(0x00);
        CS=1;
}
//---------------------------------------------------------------------
//初始化芯片
//---------------------------------------------------------------------
void Init_MAX7219(void)
{
        Write_Max7219_1(0x09, 0x00);       //译码方式:不译码
        Write_Max7219_1(0x0a, 0x00);       //亮度最小
        Write_Max7219_1(0x0b, 0x07);       //扫描界限;8个数码管显示
        Write_Max7219_1(0x0c, 0x01);       //掉电模式:0,普通模式:1
        Write_Max7219_1(0x0f, 0x00);       //显示测试:1;测试结束,正常显示:0

        Write_Max7219_2(0x09, 0x00);       //译码方式:不译码
        Write_Max7219_2(0x0a, 0x00);       //亮度最小
        Write_Max7219_2(0x0b, 0x07);       //扫描界限;8个数码管显示
        Write_Max7219_2(0x0c, 0x01);       //掉电模式:0,普通模式:1
        Write_Max7219_2(0x0f, 0x00);       //显示测试:1;测试结束,正常显示:0

        Write_Max7219_3(0x09, 0x00);       //译码方式:不译码
        Write_Max7219_3(0x0a, 0x00);       //亮度最小
        Write_Max7219_3(0x0b, 0x07);       //扫描界限;8个数码管显示
        Write_Max7219_3(0x0c, 0x01);       //掉电模式:0,普通模式:1
        Write_Max7219_3(0x0f, 0x00);       //显示测试:1;测试结束,正常显示:0
       
        Write_Max7219_4(0x09, 0x00);       //译码方式:不译码
        Write_Max7219_4(0x0a, 0x00);       //亮度最小
        Write_Max7219_4(0x0b, 0x07);       //扫描界限;8个数码管显示
        Write_Max7219_4(0x0c, 0x01);       //掉电模式:0,普通模式:1
        Write_Max7219_4(0x0f, 0x00);       //显示测试:1;测试结束,正常显示:
}


//---------------------------------------------------------------------
//*********************主程序**************************
//---------------------------------------------------------------------
void main(void)
{
        INT8U i,j=0,k=0;;
        TRISB=0;
        PORTB=0x00;
       
        __delay_ms(50);
        Init_MAX7219();  
                       
         while(1)
         {
                   for(i=1;i<9;i++)
                   {                       
                        Write_Max7219_1(i,disp1[j%10][i-1]);
                        Write_Max7219_2(i,disp1[j/10%10][i-1]);
                        Write_Max7219_3(i,disp1[j/100%10][i-1]);
                        Write_Max7219_4(i,disp1[k][i-1]);
                }
                j+=1;
                if(j>254)
                {
                        j=0;k+=1;
                        if(k>9) k=0;
                }
                   __delay_ms(300);
        }

}



微信图片_20191012204718 (2)_LI.jpg (371.01 KB )

微信图片_20191012204718 (2)_LI.jpg

微信图片_20191012204731 (2)_LI.jpg (261.96 KB )

微信图片_20191012204731 (2)_LI.jpg

微信图片_20191012204745 (2).jpg (262.43 KB )

微信图片_20191012204745 (2).jpg

使用特权

评论回复
沙发
jinwe|  楼主 | 2019-10-12 21:15 | 只看该作者
这是运行时的视频

使用特权

评论回复
板凳
mintspring| | 2019-10-13 14:55 | 只看该作者
是不是给的指令或者电路接线有问题。

使用特权

评论回复
地板
mintspring| | 2019-10-13 14:55 | 只看该作者
之前用过这个 芯片,而且可以在Proteus里仿真这个,没遇到过问题,给楼主个建议,在Proteus里仿真一下试试看,我记得支持PIC单片机。

使用特权

评论回复
5
wanduzi| | 2019-10-13 19:33 | 只看该作者
这个是静态的,莫非楼主填写的代码有问题?

使用特权

评论回复
6
jinwe|  楼主 | 2019-10-13 21:25 | 只看该作者
另外3个都没问题,图片可能看一清,视频非常清楚。有一种多余的半暗的点。仿真好像没问题

使用特权

评论回复
7
lcczg| | 2019-10-14 10:18 | 只看该作者
在底层驱动中,CLK =0 -> 1的时间是长的,但从CLK =1 -> 0的时间感觉比较短,楼主看了波形吗?max7219手册上高低脉冲要求最小是50nS.要看下是否符合。
void Write_Max7219_byte(INT8U DATA)         

{
        INT8U i;   
        CS=0;                                //CS=0有效,CS=1锁存      
        for(i=8;i>=1;i--)
    {
            CLK=0;
            if(DATA & 0x80) DIN=1; else DIN=0;
                //DIN=(DATA&0x80);  //&10000000, 编译器对位操作的理解:非0即为1
                DATA=DATA<<1;
                CLK=1;                    //上升沿把数据送出去
        }                                 
}

使用特权

评论回复
8
jinwe|  楼主 | 2019-10-14 14:18 | 只看该作者
lcczg 发表于 2019-10-14 10:18
在底层驱动中,CLK =0 -> 1的时间是长的,但从CLK =1 -> 0的时间感觉比较短,楼主看了波形吗?max7219手册 ...

谢谢了!我在CLK=1;后面加了2个空操作NOP();NOP();还是有闪烁,怪就怪在只是第3个闪,另外3个没问题。谢谢了,请帮忙指教一下!

使用特权

评论回复
9
lcczg| | 2019-10-14 16:40 | 只看该作者
jinwe 发表于 2019-10-14 14:18
谢谢了!我在CLK=1;后面加了2个空操作NOP();NOP();还是有闪烁,怪就怪在只是第3个闪,另外3个没问 ...

外面四片MAX7219写入数据是不是应该顺序写入四三二一。不需要调用单独每片的写函数?这样就不用写入空了。硬件也核查下。

使用特权

评论回复
10
jinwe|  楼主 | 2019-10-15 14:12 | 只看该作者
代码问题,解决了,参考了一个arduino代码,解决了,谢谢各位的指导!

使用特权

评论回复
11
lcczg| | 2019-10-15 14:19 | 只看该作者
jinwe 发表于 2019-10-15 14:12
代码问题,解决了,参考了一个arduino代码,解决了,谢谢各位的指导!

分享下细节。。

使用特权

评论回复
12
jinwe|  楼主 | 2019-10-15 14:40 | 只看该作者
#include <pic.h>
__CONFIG(HS&WDTDIS&LVPDIS);

#define INT8U   unsigned char
#define INT16U  unsigned int
#define _XTAL_FREQ 4000000UL
#define LED_Count 4

//定义Max7219端口
#define DIN RB0
#define CS  RB1
#define CLK RB2

const INT8U disp1[10][8]=
{
        {0x3C,0x42,0x42,0x42,0x42,0x42,0x42,0x3C}, //0
        {0x18,0x28,0x08,0x08,0x08,0x08,0x08,0x08}, //1
        {0x3c,0x42,0x42,0x02,0x1c,0x20,0x40,0x7E}, //2
        {0x3c,0x42,0x42,0x0c,0x02,0x42,0x42,0x3c}, //3
        {0x28,0x28,0x48,0x48,0x7e,0x08,0x08,0x08}, //4
        {0x3e,0x40,0x40,0x7c,0x02,0x02,0x42,0x3c}, //5
        {0x3e,0x42,0x40,0x7C,0x42,0x42,0x42,0x3c}, //6
        {0x7E,0x02,0x04,0x08,0x08,0x08,0x08,0x08}, //7
        {0x3c,0x42,0x42,0x3c,0x42,0x42,0x42,0x3c}, //8
        {0x3c,0x42,0x42,0x42,0x3e,0x02,0x42,0x3c}  //9
};


//-----------------------------------------------------------------------------
//向MAX7219写入字节
//-----------------------------------------------------------------------------
void Write_Max7219_byte(INT8U DATA)
{   
  INT8U i;
  CS=0;               
  for(i=8;i>=1;i--)
  {                  
    CLK=0;
    if(DATA&0x80)DIN=1;else DIN=0;
    DATA = DATA<<1;
    CLK=1;
  }                                 
}

//---------------------------------------------------------------------
//MAX7219写入数据
//---------------------------------------------------------------------
void Write_Max7219(INT8U address,INT8U dat)
{
  CS=0;
  Write_Max7219_byte(address);
  Write_Max7219_byte(dat);
  CS=1;
}
//---------------------------------------------------------------------
//初始化芯片
//---------------------------------------------------------------------
void Init_MAX7219(void)
{
  for(int i=0;i<LED_Count;i++)
  {
    Write_Max7219(0x09, 0x00); // 译码方式:不译码      
    Write_Max7219(0x0a, 0x00); // 亮度:0-F   
    Write_Max7219(0x0b, 0x07); // 扫描界限;8个数码管显示  
    Write_Max7219(0x0c, 0x01); // 掉电模式:0,普通模式:1         
    Write_Max7219(0x0f, 0x00); // 显示测试:1;测试结束,正常显示:0
  }
}

void DisplayLED88(INT16U Count)
{
  for(int i=1;i<9;i++)
  {
    CS=0;   
    for(int j=0 ; j< LED_Count; j++)
    {   
      switch(j)
      {
        case 0:
          Write_Max7219_byte(i);            
          Write_Max7219_byte(disp1[Count/1000][i-1]);
          break;
        case 1:
          Write_Max7219_byte(i);            
          Write_Max7219_byte(disp1[Count/100%10][i-1]);
          break;
        case 2:
          Write_Max7219_byte(i);            
          Write_Max7219_byte(disp1[Count/10%10][i-1]);
          break;
        case 3:
          Write_Max7219_byte(i);            
          Write_Max7219_byte(disp1[Count%10][i-1]);
          break;         
      }
    }
    CS=1;
  }            
}


//---------------------------------------------------------------------
//*********************主程序**************************
//---------------------------------------------------------------------
void main(void)
{
    INT16U k=0;
    TRISB=0;
    PORTB=0x00;
         
    __delay_ms(50);
    Init_MAX7219();  
                        
    while(1)
    {
            DisplayLED88(k);
            k+=1;
            if(k>9999) k=0;
            __delay_ms(500);
    }

}

使用特权

评论回复
13
jinwe|  楼主 | 2019-10-15 14:41 | 只看该作者
这代码还简洁些,完全不闪了

使用特权

评论回复
14
奔波儿熊| | 2019-10-15 14:47 | 只看该作者
根本问题在哪里,没看出区别啊,指教下

使用特权

评论回复
15
jinwe|  楼主 | 2019-10-15 18:34 | 只看该作者
应该是写数据这块,这用循环写,好像没了空写入。我也还没搞明白

使用特权

评论回复
16
kxsi| | 2019-11-13 11:34 | 只看该作者
刷新频率是多少

使用特权

评论回复
17
nawu| | 2019-11-13 11:49 | 只看该作者
因该就是代码问题

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

26

主题

115

帖子

0

粉丝