[技术问答]

mini58PWM配置

[复制链接]
2892|10
手机看帖
扫描二维码
随时随地手机跟帖
wm1006|  楼主 | 2021-9-6 20:54 | 显示全部楼层 |阅读模式
哪位大神配置过mini58 的PWM。。请教一下。。

SYS->P0_MFP |= SYS_MFP_P04_PWM0_CH5;
  CLK_EnableModuleClock(PWMCH45_MODULE);
  CLK_SetModuleClock(PWMCH45_MODULE, CLK_CLKSEL2_PWMCH45SEL_HCLK, 0);

  PWM_ConfigOutputChannel(PWM, 5, 25000, 50);
//    PWM_EnableDeadZone(PWM, 5, 100);
  PWM_EnableOutput(PWM, BIT5);
  PWM_Start(PWM, BIT5);


这是我的一个配置。。小伙伴们帮忙看一下,,还缺啥

使用特权

评论回复
玛尼玛尼哄| | 2021-9-7 15:51 | 显示全部楼层
先试试看。

使用特权

评论回复
玛尼玛尼哄| | 2021-9-7 15:54 | 显示全部楼层
/**************************************************************************//**
* [url=home.php?mod=space&uid=288409]@file[/url]     main.c
* [url=home.php?mod=space&uid=895143]@version[/url]  V1.00
* $Revision: 4 $
* $Date: 15/05/22 2:05p $
* [url=home.php?mod=space&uid=247401]@brief[/url]    通过P40(24)和P41(PIN36)输出两路PWM信号.
*
* @note
* Copyright (C) 2014 Nuvoton Technology Corp. All rights reserved.
*
******************************************************************************/
#include <stdio.h>
#include "M051Series.h"

/*---------------------------------------------------------------------------------------------------------*/
/* Macro, type and constant definitions                                                                    */
/*---------------------------------------------------------------------------------------------------------*/

#define PLLCON_SETTING      CLK_PLLCON_50MHz_HXT
#define PLL_CLOCK           50000000




/*---------------------------------------------------------------------------------------------------------*/
/* Global variables                                                                                        */
/*---------------------------------------------------------------------------------------------------------*/


void SYS_Init(void)
{
    /*---------------------------------------------------------------------------------------------------------*/
    /* Init System Clock                                                                                       */
    /*---------------------------------------------------------------------------------------------------------*/

    /* Enable Internal RC clock */
    CLK_EnableXtalRC(CLK_PWRCON_OSC22M_EN_Msk);

    /* Waiting for IRC22M clock ready */
    CLK_WaitClockReady(CLK_CLKSTATUS_OSC22M_STB_Msk);

    /* Switch HCLK clock source to Internal RC and HCLK source divide 1 */
    CLK_SetHCLK(CLK_CLKSEL0_HCLK_S_HIRC, CLK_CLKDIV_HCLK(1));

    /* Enable external 12MHz XTAL, internal 22.1184MHz */
    CLK_EnableXtalRC(CLK_PWRCON_XTL12M_EN_Msk | CLK_PWRCON_OSC22M_EN_Msk);

    /* Enable PLL and Set PLL frequency */
    CLK_SetCoreClock(PLLCON_SETTING);

    /* Waiting for clock ready */
    CLK_WaitClockReady(CLK_CLKSTATUS_PLL_STB_Msk | CLK_CLKSTATUS_XTL12M_STB_Msk | CLK_CLKSTATUS_OSC22M_STB_Msk);

    /* Switch HCLK clock source to PLL, STCLK to HCLK/2 */
    CLK_SetHCLK(CLK_CLKSEL0_HCLK_S_PLL, CLK_CLKDIV_HCLK(2));

    /* Enable UART module clock */
    CLK_EnableModuleClock(UART0_MODULE);

    /* Enable PWM module clock */
    CLK_EnableModuleClock(PWM01_MODULE);


    /* Select UART module clock source */
    CLK_SetModuleClock(UART0_MODULE, CLK_CLKSEL1_UART_S_HXT, CLK_CLKDIV_UART(1));

    /* Select PWM module clock source */
    CLK_SetModuleClock(PWM01_MODULE, CLK_CLKSEL1_PWM01_S_HXT, 0);


    /* Reset PWMA channel0~channel3 */
    SYS_ResetModule(PWM03_RST);

    /* Update System Core Clock */
    /* User can use SystemCoreClockUpdate() to calculate PllClock, SystemCoreClock and CycylesPerUs automatically. */
    //SystemCoreClockUpdate();
    PllClock        = PLL_CLOCK;            // PLL
    SystemCoreClock = PLL_CLOCK / 1;        // HCLK
    CyclesPerUs     = PLL_CLOCK / 1000000;  // For SYS_SysTickDelay()

    /*---------------------------------------------------------------------------------------------------------*/
    /* Init I/O Multi-function                                                                                 */
    /*---------------------------------------------------------------------------------------------------------*/
    /* Set P3 multi-function pins for UART0 RXD and TXD  */
    SYS->P3_MFP &= ~(SYS_MFP_P30_Msk | SYS_MFP_P31_Msk);
    SYS->P3_MFP |= SYS_MFP_P30_RXD0 | SYS_MFP_P31_TXD0;
    /* Set P4 multi-function pins for PWMA Channel 0 and 1 */
    SYS->P4_MFP &= ~(SYS_MFP_P40_Msk|SYS_MFP_P41_Msk);
    SYS->P4_MFP |= (SYS_MFP_P40_PWM0|SYS_MFP_P41_PWM1);
               
}


void UART0_Init(void)
{

    /*---------------------------------------------------------------------------------------------------------*/
    /* Init UART                                                                                               */
    /*---------------------------------------------------------------------------------------------------------*/
    /* Configure UART0 and set UART0 Baudrate */
    UART_Open(UART0, 115200);
}


/*---------------------------------------------------------------------------------------------------------*/
/*  Main Function                                                                                          */
/*---------------------------------------------------------------------------------------------------------*/
int32_t main(void)
{
        uint8_t i=100;

        /* Unlock protected registers */
        SYS_UnlockReg();
        /* Init System, IP clock and multi-function I/O */
        SYS_Init();
        /* Lock protected registers */
        SYS_LockReg();
        /* Init UART0 for printf */
        UART0_Init();

        PWM_EnableOutput(PWMA, 0x1|0x2);
        PWM_ConfigOutputChannel(PWMA, PWM_CH0, 2000, i);
        PWM_ConfigOutputChannel(PWMA, PWM_CH1, 2000, 100-i);
        PWM_Start(PWMA, 0x1|0x2);
       
        GPIO_SetMode(P3,BIT6,GPIO_PMD_OUTPUT);

        while(1)
        {
                while(i>0)
                {
                        i=i-1;
                        PWM_ConfigOutputChannel(PWMA, PWM_CH0, 2000, i);
                        PWM_ConfigOutputChannel(PWMA, PWM_CH1, 2000, 100-i);
                        CLK_SysTickDelay(5000);                               
                }
               
//驱动板载的LED红灯闪烁               
                P36 ^=1;
               
                while(i<100)
                {
                        i=i+1;
                        PWM_ConfigOutputChannel(PWMA, PWM_CH0, 2000, i);
                        PWM_ConfigOutputChannel(PWMA, PWM_CH1, 2000, 100-i);
                        CLK_SysTickDelay(5000);
                }
               
//驱动板载的LED红灯闪烁               
                P36 ^=1;
        }
}

使用特权

评论回复
玛尼玛尼哄| | 2021-9-7 15:55 | 显示全部楼层
看我配置的,就可以正常用,你的应该也没问题。

使用特权

评论回复
redone| | 2021-9-11 11:03 | 显示全部楼层
nice,非常的不错

使用特权

评论回复
xinpian101| | 2021-9-12 17:38 | 显示全部楼层
写个呼吸灯,看看效果,如果有示波器直接测。

使用特权

评论回复
foxsbig| | 2021-9-14 09:20 | 显示全部楼层
就是能改变PWM的脉宽就可以,具体效果,看灯微调

使用特权

评论回复
antusheng| | 2021-9-14 23:31 | 显示全部楼层
脉宽调制非常好用。

使用特权

评论回复
daichaodai| | 2021-9-15 07:53 | 显示全部楼层
PWM应用很广。

使用特权

评论回复
cyclefly| | 2021-10-19 17:09 | 显示全部楼层
参考官网例程啊,官网是个好东西

使用特权

评论回复
mutable| | 2021-12-11 11:21 | 显示全部楼层
最近用PWM控制加热,控温,
使用没什么问题,
就是示波器测波形的时候,有些毛刺

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

5

主题

18

帖子

0

粉丝