打印
[Quartus]

Quartus II的管脚分配

[复制链接]
2479|0
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
gaochy1126|  楼主 | 2022-2-27 15:33 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
CPLD大量管脚进行分配是件头疼的事情。在Pin Planner里逐一添加比较麻烦。或者布线改动较多时需要逐一修改。
可以通过内置tcl命令进行自动添加,步骤如下:
1. 新建一个文本文件,例如pin.txt
2. 添加多条管脚分配命令
set_location_assignment PIN_123 -to MODULE_PORT
其中,PIN_123是管脚123的编号,其它的类似。MODULE_PORT是TOP模块的端口。
如果端口是多位的,则需使用如下命令
set_location_assignment PIN_123 -to MODULE_PORT[n]
其中,n表示端口的第n位
3. 在Quartus中打开Tcl console,可以通过快捷键Alt+2打开
4. 在Tcl console中粘贴pin.txt中所有命令,回车执行
5. 自动分配完成!可以在Pin Planner中查看分配结果。保留pin.txt用于未来管脚的更改。

三、FPGA管脚分配文件保存方法
使用别人的工程时,有时找不到他的管脚文件,但可以把他已经绑定好的管脚保存下来,输出到文件里。
方法一:
查看引脚绑定情况,quartus -》 assignment -》 Pins,打开FPGA引脚界面,在这个界面的菜单中可以保存引脚文件为csv格式(表格形式)和tcl格式。
步骤:File -》 Export… -》 选择保存名字和保存格式。
方法二:
直接输出管脚配置,assignmengt -》 Export assignmengt,可以保存配置为qsf格式,该格式可以用记事本查看,在该文件中同样包含了引脚信息。注意:保存时会在原工程文件夹下新建一个文件夹。
至于元件的其它配置方式,可以在qsf文件和tcl文件中用语句来设置,也可以在Assignment -》 device,界面中设置。
加载:
使用已经有的引脚文件时,在选项assignment -》 Import assignment,中可以选择要加载的引脚文件。此时,可以加载qsf 和 csv(或txt)文件。
保存的csv(txt)文件、qsf文件 和 tcl文件的区别:
csv(txt)文件:包含芯片所有管脚信息,包括分配的和未分配的;
qsf文件:包含管脚分配信息和芯片信息;
tcl文件:只包含已分配管脚信息。

使用特权

评论回复

相关帖子

发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

个人签名:这个社会混好的两种人:一是有权有势,二是没脸没皮的。

1025

主题

11271

帖子

25

粉丝