打印

请高手指教!

[复制链接]
1110|0
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
6552918|  楼主 | 2009-4-18 14:45 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
module traffic_lights;
    reg clock,red,amber,green;
    parameter on=1,off=0,red_tics=350,amber_tics=30,green_tics=200;

    initial red=off;
    initial amber=off;
    initial green=off;

    always 
        begin
            red=on;
            light(red,red_tics);
            amber=on;
            light(amber,amber_tics);
            green=on;
            light(green,green_tics);
        end

task light(tics,color);
    output color;
    input[31:0] tics;
begin
            repeat(tics)@(posedge clock);
            color=off;
end
endtask

always
    begin
        #100 clock=0;
        #100 clock=1;
    end
endmodule


在编译时提示在19行有语法错误,但没发现什么问题 请高手指点!

相关帖子

发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

认证:北京汇冠触摸技术有限公司/电子工程师
简介:电子工程师,嵌入式应用爱好者。

101

主题

1191

帖子

5

粉丝