electroman https://bbs.21ic.com/?619412 [收藏] [复制] [RSS]

日志

verilog中负数的表示

已有 1750 次阅读2011-8-4 01:37 |个人分类:FPGA设计|系统分类:EDA/PLD| verilog, fpga

最近遇到一个问题,就是,如果一个寄存器减去另一个寄存器是负数,那么差值赋值到寄存器后,该如何表示呢?


做了一个仿真,测试了一下:



reg [3:0] test_reg;


always @(posedge SysClk or negedge SysRst_n)


 


If   (!SysRst_n)     test_reg <= 4'h0;


 


else  test_reg <= test_reg - 1'b1;





 


 


路过

鸡蛋

鲜花

握手

雷人

评论 (0 个评论)