schspa的个人空间 https://bbs.21ic.com/?828285 [收藏] [复制] [RSS]

日志

PS2 HOST IP core

已有 761 次阅读2013-10-6 15:11 |个人分类:IP core|系统分类:EDA/PLD| PS2

open cores 上边的IP核
http://opencores.org/project,ps2_host_controller

Details

Name: ps2_host_controller
Created: Dec 16, 2011
Updated: Dec 19, 2011
SVN Updated: Dec 19, 2011
SVN: Browse
Latest version: download
Statistics: View

Other project properties

Category: Communication controller
Language: Verilog
Development status: Beta
Additional info: FPGA proven
WishBone Compliant: No
License: LGPL

Description

This core aims at implementing host side of IBM PS/2 keyboard and mouse communication protocol.

To run testbench:
%> iverilog -DSYS_CLOCK_HZ=100000 -o ps2_host_testbench ps2_host_testbench.v
%> vvp ps2_host_testbench -lxt2
%> gtkwave ps2_host_testbench.lxt


上边仿真使用的是开源的iverilog和gtkwave,笔者已使用,稍后将资源使用情框报上。

使用时只需接顶层文件ps2_host.v

模块信号也都是很简单的,很适合初学者使用学习。

注意都是高电平有效。。

module ps2_host(

  input wire sys_clk,

  input wire sys_rst,

  inout wire ps2_clk,

  inout wire ps2_data,


  input  wire [7:0] tx_data,

  input  wire send_req,

  output wire busy,


  output wire [7:0] rx_data,

  output wire ready,

  output wire error

);


路过

鸡蛋

鲜花

握手

雷人

评论 (0 个评论)