这是我写的FIR滤波器代码,总觉得不对

[复制链接]
 楼主| jimmyhua 发表于 2007-6-11 15:11 | 显示全部楼层 |阅读模式
library&nbsp;IEEE;<br />use&nbsp;IEEE.STD_LOGIC_1164.ALL;<br />use&nbsp;IEEE.STD_LOGIC_ARITH.ALL;<br />use&nbsp;IEEE.STD_LOGIC_UNSIGNED.ALL;<br />ENTITY&nbsp;FIR&nbsp;IS<br />&nbsp;&nbsp;&nbsp;&nbsp;GENERIC<br />&nbsp;&nbsp;&nbsp;&nbsp;(<br />&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;WIDTH&nbsp;&nbsp;&nbsp;&nbsp;:&nbsp;integer&nbsp;:=&nbsp;&nbsp;&nbsp;&nbsp;8<br />&nbsp;&nbsp;&nbsp;&nbsp;);<br />&nbsp;&nbsp;&nbsp;&nbsp;PORT<br />&nbsp;&nbsp;&nbsp;&nbsp;(<br />&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;CLK,RESET_N&nbsp;&nbsp;&nbsp;&nbsp;:&nbsp;IN&nbsp;&nbsp;&nbsp;&nbsp;STD_LOGIC;<br />&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;X&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;:&nbsp;IN&nbsp;&nbsp;&nbsp;&nbsp;STD_LOGIC_VECTOR(WIDTH-1&nbsp;DOWNTO&nbsp;0);<br />&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;H&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;:&nbsp;IN&nbsp;&nbsp;&nbsp;&nbsp;STD_LOGIC_VECTOR(WIDTH-1&nbsp;DOWNTO&nbsp;0);<br />&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;<br />&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;Y&nbsp;&nbsp;&nbsp;&nbsp;:&nbsp;INOUT&nbsp;&nbsp;&nbsp;&nbsp;std_logic_vector(2*WIDTH-1&nbsp;DOWNTO&nbsp;0)<br />&nbsp;&nbsp;&nbsp;&nbsp;);<br />END&nbsp;FIR;<br />ARCHITECTURE&nbsp;a&nbsp;OF&nbsp;FIR&nbsp;IS<br />&nbsp;&nbsp;&nbsp;TYPE&nbsp;MEM&nbsp;IS&nbsp;ARRAY(0&nbsp;TO&nbsp;7)&nbsp;OF&nbsp;std_logic_vector(2*WIDTH-1&nbsp;DOWNTO&nbsp;0);<br />&nbsp;&nbsp;&nbsp;&nbsp;signal&nbsp;&nbsp;sys_reset&nbsp;:&nbsp;std_logic;<br />&nbsp;&nbsp;&nbsp;&nbsp;signal&nbsp;&nbsp;&nbsp;c&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;:&nbsp;integer&nbsp;range&nbsp;0&nbsp;to&nbsp;7&nbsp;:=0;<br />&nbsp;&nbsp;&nbsp;&nbsp;signal&nbsp;&nbsp;result_sum&nbsp;:&nbsp;std_logic_vector(2*WIDTH-1&nbsp;DOWNTO&nbsp;0);<br />&nbsp;&nbsp;&nbsp;&nbsp;signal&nbsp;&nbsp;result:&nbsp;MEM;<br />&nbsp;&nbsp;&nbsp;&nbsp;<br />BEGIN<br />&nbsp;&nbsp;&nbsp;&nbsp;<br />&nbsp;&nbsp;&nbsp;&nbsp;sys_reset&nbsp;&lt=&nbsp;RESET_N;<br />&nbsp;&nbsp;&nbsp;&nbsp;result_sum&lt=Y;<br />&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;--Y(6)&lt=result(6);<br />&nbsp;&nbsp;&nbsp;&nbsp;--Y(5)&lt=result(5);<br />&nbsp;&nbsp;&nbsp;&nbsp;--Y(4)&lt=result(4);<br />&nbsp;&nbsp;&nbsp;&nbsp;--Y(3)&lt=result(3);<br />&nbsp;&nbsp;&nbsp;&nbsp;--Y(2)&lt=result(1);<br />&nbsp;&nbsp;&nbsp;&nbsp;--Y(0)&lt=result(0);&nbsp;&nbsp;&nbsp;&nbsp;<br /><br />PROCESS&nbsp;(CLK,sys_reset)<br />BEGIN&nbsp;&nbsp;&nbsp;&nbsp;<br />IF&nbsp;CLK&nbsp;'event&nbsp;and&nbsp;CLK='1'&nbsp;THEN<br />IF&nbsp;sys_reset='0'&nbsp;THEN<br />Y&lt=&quot;ZZZZZZZZZZZZZZZZ&quot;;<br />--result_sum&lt=&quot;0000000000000000&quot;;<br />END&nbsp;IF;<br />END&nbsp;IF;&nbsp;&nbsp;&nbsp;&nbsp;<br />END&nbsp;PROCESS;<br />PROCESS&nbsp;(CLK)<br />BEGIN<br />IF&nbsp;CLK&nbsp;'event&nbsp;and&nbsp;CLK='1'&nbsp;THEN<br />result(c)&lt=X*H;<br />c&lt=c+1;<br />result_sum&lt=result_sum+result(c);<br />IF&nbsp;c&gt=7&nbsp;THEN<br />&nbsp;&nbsp;&nbsp;c&lt=0;<br />&nbsp;&nbsp;&nbsp;result_sum&lt=&quot;0000000000000000&quot;;<br /><br />END&nbsp;IF;<br />END&nbsp;IF;&nbsp;&nbsp;&nbsp;&nbsp;<br />END&nbsp;PROCESS&nbsp;;<br /><br /><br />END&nbsp;a;<br />
 楼主| jimmyhua 发表于 2007-6-11 15:12 | 显示全部楼层

这是warning .

Info:&nbsp;*******************************************************************<br />Info:&nbsp;Running&nbsp;Quartus&nbsp;II&nbsp;Analysis&nbsp;&&nbsp;Synthesis<br />&nbsp;&nbsp;&nbsp;&nbsp;Info:&nbsp;Version&nbsp;5.1&nbsp;Build&nbsp;176&nbsp;10/26/2005&nbsp;SJ&nbsp;Full&nbsp;Version<br />&nbsp;&nbsp;&nbsp;&nbsp;Info:&nbsp;Processing&nbsp;started:&nbsp;Mon&nbsp;Jun&nbsp;11&nbsp;15:20:09&nbsp;2007<br />Info:&nbsp;Command:&nbsp;quartus_map&nbsp;--read_settings_files=on&nbsp;--write_settings_files=off&nbsp;fir&nbsp;-c&nbsp;fir<br />Info:&nbsp;Found&nbsp;2&nbsp;design&nbsp;units,&nbsp;including&nbsp;1&nbsp;entities,&nbsp;in&nbsp;source&nbsp;file&nbsp;fir.vhd<br />&nbsp;&nbsp;&nbsp;&nbsp;Info:&nbsp;Found&nbsp;design&nbsp;unit&nbsp;1:&nbsp;FIR-a<br />&nbsp;&nbsp;&nbsp;&nbsp;Info:&nbsp;Found&nbsp;entity&nbsp;1:&nbsp;FIR<br />Info:&nbsp;Elaborating&nbsp;entity&nbsp;&quot;fir&quot;&nbsp;for&nbsp;the&nbsp;top&nbsp;level&nbsp;hierarchy<br />Warning:&nbsp;Reduced&nbsp;register&nbsp;&quot;process0~0&quot;&nbsp;with&nbsp;stuck&nbsp;data_in&nbsp;port&nbsp;to&nbsp;stuck&nbsp;value&nbsp;GND<br />Warning:&nbsp;Reduced&nbsp;register&nbsp;&quot;process0~1&quot;&nbsp;with&nbsp;stuck&nbsp;data_in&nbsp;port&nbsp;to&nbsp;stuck&nbsp;value&nbsp;GND<br />Warning:&nbsp;Reduced&nbsp;register&nbsp;&quot;process0~4&quot;&nbsp;with&nbsp;stuck&nbsp;data_in&nbsp;port&nbsp;to&nbsp;stuck&nbsp;value&nbsp;GND<br />Warning:&nbsp;Reduced&nbsp;register&nbsp;&quot;process0~6&quot;&nbsp;with&nbsp;stuck&nbsp;data_in&nbsp;port&nbsp;to&nbsp;stuck&nbsp;value&nbsp;GND<br />Warning:&nbsp;Reduced&nbsp;register&nbsp;&quot;process0~8&quot;&nbsp;with&nbsp;stuck&nbsp;data_in&nbsp;port&nbsp;to&nbsp;stuck&nbsp;value&nbsp;GND<br />Warning:&nbsp;Reduced&nbsp;register&nbsp;&quot;process0~10&quot;&nbsp;with&nbsp;stuck&nbsp;data_in&nbsp;port&nbsp;to&nbsp;stuck&nbsp;value&nbsp;GND<br />Warning:&nbsp;Reduced&nbsp;register&nbsp;&quot;process0~12&quot;&nbsp;with&nbsp;stuck&nbsp;data_in&nbsp;port&nbsp;to&nbsp;stuck&nbsp;value&nbsp;GND<br />Warning:&nbsp;Reduced&nbsp;register&nbsp;&quot;process0~14&quot;&nbsp;with&nbsp;stuck&nbsp;data_in&nbsp;port&nbsp;to&nbsp;stuck&nbsp;value&nbsp;GND<br />Warning:&nbsp;Reduced&nbsp;register&nbsp;&quot;process0~16&quot;&nbsp;with&nbsp;stuck&nbsp;data_in&nbsp;port&nbsp;to&nbsp;stuck&nbsp;value&nbsp;GND<br />Warning:&nbsp;Reduced&nbsp;register&nbsp;&quot;process0~18&quot;&nbsp;with&nbsp;stuck&nbsp;data_in&nbsp;port&nbsp;to&nbsp;stuck&nbsp;value&nbsp;GND<br />Warning:&nbsp;Reduced&nbsp;register&nbsp;&quot;process0~20&quot;&nbsp;with&nbsp;stuck&nbsp;data_in&nbsp;port&nbsp;to&nbsp;stuck&nbsp;value&nbsp;GND<br />Warning:&nbsp;Reduced&nbsp;register&nbsp;&quot;process0~22&quot;&nbsp;with&nbsp;stuck&nbsp;data_in&nbsp;port&nbsp;to&nbsp;stuck&nbsp;value&nbsp;GND<br />Warning:&nbsp;Reduced&nbsp;register&nbsp;&quot;process0~24&quot;&nbsp;with&nbsp;stuck&nbsp;data_in&nbsp;port&nbsp;to&nbsp;stuck&nbsp;value&nbsp;GND<br />Warning:&nbsp;Reduced&nbsp;register&nbsp;&quot;process0~26&quot;&nbsp;with&nbsp;stuck&nbsp;data_in&nbsp;port&nbsp;to&nbsp;stuck&nbsp;value&nbsp;GND<br />Warning:&nbsp;Reduced&nbsp;register&nbsp;&quot;process0~28&quot;&nbsp;with&nbsp;stuck&nbsp;data_in&nbsp;port&nbsp;to&nbsp;stuck&nbsp;value&nbsp;GND<br />Warning:&nbsp;Reduced&nbsp;register&nbsp;&quot;process0~30&quot;&nbsp;with&nbsp;stuck&nbsp;data_in&nbsp;port&nbsp;to&nbsp;stuck&nbsp;value&nbsp;GND<br />Warning:&nbsp;Reduced&nbsp;register&nbsp;&quot;Y[14]~reg0&quot;&nbsp;with&nbsp;stuck&nbsp;data_in&nbsp;port&nbsp;to&nbsp;stuck&nbsp;value&nbsp;GND<br />Warning:&nbsp;Reduced&nbsp;register&nbsp;&quot;Y[13]~reg0&quot;&nbsp;with&nbsp;stuck&nbsp;data_in&nbsp;port&nbsp;to&nbsp;stuck&nbsp;value&nbsp;GND<br />Warning:&nbsp;Reduced&nbsp;register&nbsp;&quot;Y[12]~reg0&quot;&nbsp;with&nbsp;stuck&nbsp;data_in&nbsp;port&nbsp;to&nbsp;stuck&nbsp;value&nbsp;GND<br />Warning:&nbsp;Reduced&nbsp;register&nbsp;&quot;Y[11]~reg0&quot;&nbsp;with&nbsp;stuck&nbsp;data_in&nbsp;port&nbsp;to&nbsp;stuck&nbsp;value&nbsp;GND<br />Warning:&nbsp;Reduced&nbsp;register&nbsp;&quot;Y[10]~reg0&quot;&nbsp;with&nbsp;stuck&nbsp;data_in&nbsp;port&nbsp;to&nbsp;stuck&nbsp;value&nbsp;GND<br />Warning:&nbsp;Reduced&nbsp;register&nbsp;&quot;Y[9]~reg0&quot;&nbsp;with&nbsp;stuck&nbsp;data_in&nbsp;port&nbsp;to&nbsp;stuck&nbsp;value&nbsp;GND<br />Warning:&nbsp;Reduced&nbsp;register&nbsp;&quot;Y[8]~reg0&quot;&nbsp;with&nbsp;stuck&nbsp;data_in&nbsp;port&nbsp;to&nbsp;stuck&nbsp;value&nbsp;GND<br />Warning:&nbsp;Reduced&nbsp;register&nbsp;&quot;Y[7]~reg0&quot;&nbsp;with&nbsp;stuck&nbsp;data_in&nbsp;port&nbsp;to&nbsp;stuck&nbsp;value&nbsp;GND<br />Warning:&nbsp;Reduced&nbsp;register&nbsp;&quot;Y[6]~reg0&quot;&nbsp;with&nbsp;stuck&nbsp;data_in&nbsp;port&nbsp;to&nbsp;stuck&nbsp;value&nbsp;GND<br />Warning:&nbsp;Reduced&nbsp;register&nbsp;&quot;Y[5]~reg0&quot;&nbsp;with&nbsp;stuck&nbsp;data_in&nbsp;port&nbsp;to&nbsp;stuck&nbsp;value&nbsp;GND<br />Warning:&nbsp;Reduced&nbsp;register&nbsp;&quot;Y[4]~reg0&quot;&nbsp;with&nbsp;stuck&nbsp;data_in&nbsp;port&nbsp;to&nbsp;stuck&nbsp;value&nbsp;GND<br />Warning:&nbsp;Reduced&nbsp;register&nbsp;&quot;Y[3]~reg0&quot;&nbsp;with&nbsp;stuck&nbsp;data_in&nbsp;port&nbsp;to&nbsp;stuck&nbsp;value&nbsp;GND<br />Warning:&nbsp;Reduced&nbsp;register&nbsp;&quot;Y[2]~reg0&quot;&nbsp;with&nbsp;stuck&nbsp;data_in&nbsp;port&nbsp;to&nbsp;stuck&nbsp;value&nbsp;GND<br />Warning:&nbsp;Reduced&nbsp;register&nbsp;&quot;Y[1]~reg0&quot;&nbsp;with&nbsp;stuck&nbsp;data_in&nbsp;port&nbsp;to&nbsp;stuck&nbsp;value&nbsp;GND<br />Warning:&nbsp;Reduced&nbsp;register&nbsp;&quot;Y[0]~reg0&quot;&nbsp;with&nbsp;stuck&nbsp;data_in&nbsp;port&nbsp;to&nbsp;stuck&nbsp;value&nbsp;GND<br />Warning:&nbsp;Reduced&nbsp;register&nbsp;&quot;Y[15]~reg0&quot;&nbsp;with&nbsp;stuck&nbsp;data_in&nbsp;port&nbsp;to&nbsp;stuck&nbsp;value&nbsp;GND<br />Warning:&nbsp;Design&nbsp;contains&nbsp;18&nbsp;input&nbsp;pin(s)&nbsp;that&nbsp;do&nbsp;not&nbsp;drive&nbsp;logic<br />&nbsp;&nbsp;&nbsp;&nbsp;Warning:&nbsp;No&nbsp;output&nbsp;dependent&nbsp;on&nbsp;input&nbsp;pin&nbsp;&quot;CLK&quot;<br />&nbsp;&nbsp;&nbsp;&nbsp;Warning:&nbsp;No&nbsp;output&nbsp;dependent&nbsp;on&nbsp;input&nbsp;pin&nbsp;&quot;RESET_N&quot;<br />&nbsp;&nbsp;&nbsp;&nbsp;Warning:&nbsp;No&nbsp;output&nbsp;dependent&nbsp;on&nbsp;input&nbsp;pin&nbsp;&quot;X[0]&quot;<br />&nbsp;&nbsp;&nbsp;&nbsp;Warning:&nbsp;No&nbsp;output&nbsp;dependent&nbsp;on&nbsp;input&nbsp;pin&nbsp;&quot;X[1]&quot;<br />&nbsp;&nbsp;&nbsp;&nbsp;Warning:&nbsp;No&nbsp;output&nbsp;dependent&nbsp;on&nbsp;input&nbsp;pin&nbsp;&quot;X[2]&quot;<br />&nbsp;&nbsp;&nbsp;&nbsp;Warning:&nbsp;No&nbsp;output&nbsp;dependent&nbsp;on&nbsp;input&nbsp;pin&nbsp;&quot;X[3]&quot;<br />&nbsp;&nbsp;&nbsp;&nbsp;Warning:&nbsp;No&nbsp;output&nbsp;dependent&nbsp;on&nbsp;input&nbsp;pin&nbsp;&quot;X[4]&quot;<br />&nbsp;&nbsp;&nbsp;&nbsp;Warning:&nbsp;No&nbsp;output&nbsp;dependent&nbsp;on&nbsp;input&nbsp;pin&nbsp;&quot;X[5]&quot;<br />&nbsp;&nbsp;&nbsp;&nbsp;Warning:&nbsp;No&nbsp;output&nbsp;dependent&nbsp;on&nbsp;input&nbsp;pin&nbsp;&quot;X[6]&quot;<br />&nbsp;&nbsp;&nbsp;&nbsp;Warning:&nbsp;No&nbsp;output&nbsp;dependent&nbsp;on&nbsp;input&nbsp;pin&nbsp;&quot;X[7]&quot;<br />&nbsp;&nbsp;&nbsp;&nbsp;Warning:&nbsp;No&nbsp;output&nbsp;dependent&nbsp;on&nbsp;input&nbsp;pin&nbsp;&quot;H[0]&quot;<br />&nbsp;&nbsp;&nbsp;&nbsp;Warning:&nbsp;No&nbsp;output&nbsp;dependent&nbsp;on&nbsp;input&nbsp;pin&nbsp;&quot;H[1]&quot;<br />&nbsp;&nbsp;&nbsp;&nbsp;Warning:&nbsp;No&nbsp;output&nbsp;dependent&nbsp;on&nbsp;input&nbsp;pin&nbsp;&quot;H[2]&quot;<br />&nbsp;&nbsp;&nbsp;&nbsp;Warning:&nbsp;No&nbsp;output&nbsp;dependent&nbsp;on&nbsp;input&nbsp;pin&nbsp;&quot;H[3]&quot;<br />&nbsp;&nbsp;&nbsp;&nbsp;Warning:&nbsp;No&nbsp;output&nbsp;dependent&nbsp;on&nbsp;input&nbsp;pin&nbsp;&quot;H[4]&quot;<br />&nbsp;&nbsp;&nbsp;&nbsp;Warning:&nbsp;No&nbsp;output&nbsp;dependent&nbsp;on&nbsp;input&nbsp;pin&nbsp;&quot;H[5]&quot;<br />&nbsp;&nbsp;&nbsp;&nbsp;Warning:&nbsp;No&nbsp;output&nbsp;dependent&nbsp;on&nbsp;input&nbsp;pin&nbsp;&quot;H[6]&quot;<br />&nbsp;&nbsp;&nbsp;&nbsp;Warning:&nbsp;No&nbsp;output&nbsp;dependent&nbsp;on&nbsp;input&nbsp;pin&nbsp;&quot;H[7]&quot;<br />Info:&nbsp;Implemented&nbsp;34&nbsp;device&nbsp;resources&nbsp;after&nbsp;synthesis&nbsp;-&nbsp;the&nbsp;final&nbsp;resource&nbsp;count&nbsp;might&nbsp;be&nbsp;different<br />&nbsp;&nbsp;&nbsp;&nbsp;Info:&nbsp;Implemented&nbsp;18&nbsp;input&nbsp;pins<br />&nbsp;&nbsp;&nbsp;&nbsp;Info:&nbsp;Implemented&nbsp;0&nbsp;output&nbsp;pins<br />&nbsp;&nbsp;&nbsp;&nbsp;Info:&nbsp;Implemented&nbsp;16&nbsp;bidirectional&nbsp;pins<br />Info:&nbsp;Quartus&nbsp;II&nbsp;Analysis&nbsp;&&nbsp;Synthesis&nbsp;was&nbsp;successful.&nbsp;0&nbsp;errors,&nbsp;51&nbsp;warnings<br />&nbsp;&nbsp;&nbsp;&nbsp;Info:&nbsp;Processing&nbsp;ended:&nbsp;Mon&nbsp;Jun&nbsp;11&nbsp;15:20:10&nbsp;2007<br />&nbsp;&nbsp;&nbsp;&nbsp;Info:&nbsp;Elapsed&nbsp;time:&nbsp;00:00:02<br />Info:&nbsp;*******************************************************************<br />Info:&nbsp;Running&nbsp;Quartus&nbsp;II&nbsp;Fitter<br />&nbsp;&nbsp;&nbsp;&nbsp;Info:&nbsp;Version&nbsp;5.1&nbsp;Build&nbsp;176&nbsp;10/26/2005&nbsp;SJ&nbsp;Full&nbsp;Version<br />&nbsp;&nbsp;&nbsp;&nbsp;Info:&nbsp;Processing&nbsp;started:&nbsp;Mon&nbsp;Jun&nbsp;11&nbsp;15:20:12&nbsp;2007<br />Info:&nbsp;Command:&nbsp;quartus_fit&nbsp;--read_settings_files=off&nbsp;--write_settings_files=off&nbsp;fir&nbsp;-c&nbsp;fir<br />Info:&nbsp;Selected&nbsp;device&nbsp;EP1S10B672C6&nbsp;for&nbsp;design&nbsp;&quot;fir&quot;<br />Info:&nbsp;Fitter&nbsp;is&nbsp;performing&nbsp;an&nbsp;Auto&nbsp;Fit&nbsp;compilation,&nbsp;which&nbsp;may&nbsp;decrease&nbsp;Fitter&nbsp;effort&nbsp;to&nbsp;reduce&nbsp;compilation&nbsp;time<br />Info:&nbsp;Device&nbsp;migration&nbsp;not&nbsp;selected.&nbsp;If&nbsp;you&nbsp;intend&nbsp;to&nbsp;use&nbsp;device&nbsp;migration&nbsp;later,&nbsp;you&nbsp;may&nbsp;need&nbsp;to&nbsp;change&nbsp;the&nbsp;pin&nbsp;assignments&nbsp;as&nbsp;they&nbsp;may&nbsp;be&nbsp;incompatible&nbsp;with&nbsp;other&nbsp;devices<br />&nbsp;&nbsp;&nbsp;&nbsp;Info:&nbsp;Device&nbsp;EP1S20B672C6&nbsp;is&nbsp;compatible<br />&nbsp;&nbsp;&nbsp;&nbsp;Info:&nbsp;Device&nbsp;EP1S25B672C6&nbsp;is&nbsp;compatible<br />Info:&nbsp;No&nbsp;exact&nbsp;pin&nbsp;location&nbsp;assignment(s)&nbsp;for&nbsp;34&nbsp;pins&nbsp;of&nbsp;34&nbsp;total&nbsp;pins<br />&nbsp;&nbsp;&nbsp;&nbsp;Info:&nbsp;Pin&nbsp;CLK&nbsp;not&nbsp;assigned&nbsp;to&nbsp;an&nbsp;exact&nbsp;location&nbsp;on&nbsp;the&nbsp;device<br />&nbsp;&nbsp;&nbsp;&nbsp;Info:&nbsp;Pin&nbsp;RESET_N&nbsp;not&nbsp;assigned&nbsp;to&nbsp;an&nbsp;exact&nbsp;location&nbsp;on&nbsp;the&nbsp;device<br />&nbsp;&nbsp;&nbsp;&nbsp;Info:&nbsp;Pin&nbsp;X[0]&nbsp;not&nbsp;assigned&nbsp;to&nbsp;an&nbsp;exact&nbsp;location&nbsp;on&nbsp;the&nbsp;device<br />&nbsp;&nbsp;&nbsp;&nbsp;Info:&nbsp;Pin&nbsp;X[1]&nbsp;not&nbsp;assigned&nbsp;to&nbsp;an&nbsp;exact&nbsp;location&nbsp;on&nbsp;the&nbsp;device<br />&nbsp;&nbsp;&nbsp;&nbsp;Info:&nbsp;Pin&nbsp;X[2]&nbsp;not&nbsp;assigned&nbsp;to&nbsp;an&nbsp;exact&nbsp;location&nbsp;on&nbsp;the&nbsp;device<br />&nbsp;&nbsp;&nbsp;&nbsp;Info:&nbsp;Pin&nbsp;X[3]&nbsp;not&nbsp;assigned&nbsp;to&nbsp;an&nbsp;exact&nbsp;location&nbsp;on&nbsp;the&nbsp;device<br />&nbsp;&nbsp;&nbsp;&nbsp;Info:&nbsp;Pin&nbsp;X[4]&nbsp;not&nbsp;assigned&nbsp;to&nbsp;an&nbsp;exact&nbsp;location&nbsp;on&nbsp;the&nbsp;device<br />&nbsp;&nbsp;&nbsp;&nbsp;Info:&nbsp;Pin&nbsp;X[5]&nbsp;not&nbsp;assigned&nbsp;to&nbsp;an&nbsp;exact&nbsp;location&nbsp;on&nbsp;the&nbsp;device<br />&nbsp;&nbsp;&nbsp;&nbsp;Info:&nbsp;Pin&nbsp;X[6]&nbsp;not&nbsp;assigned&nbsp;to&nbsp;an&nbsp;exact&nbsp;location&nbsp;on&nbsp;the&nbsp;device<br />&nbsp;&nbsp;&nbsp;&nbsp;Info:&nbsp;Pin&nbsp;X[7]&nbsp;not&nbsp;assigned&nbsp;to&nbsp;an&nbsp;exact&nbsp;location&nbsp;on&nbsp;the&nbsp;device<br />&nbsp;&nbsp;&nbsp;&nbsp;Info:&nbsp;Pin&nbsp;H[0]&nbsp;not&nbsp;assigned&nbsp;to&nbsp;an&nbsp;exact&nbsp;location&nbsp;on&nbsp;the&nbsp;device<br />&nbsp;&nbsp;&nbsp;&nbsp;Info:&nbsp;Pin&nbsp;H[1]&nbsp;not&nbsp;assigned&nbsp;to&nbsp;an&nbsp;exact&nbsp;location&nbsp;on&nbsp;the&nbsp;device<br />&nbsp;&nbsp;&nbsp;&nbsp;Info:&nbsp;Pin&nbsp;H[2]&nbsp;not&nbsp;assigned&nbsp;to&nbsp;an&nbsp;exact&nbsp;location&nbsp;on&nbsp;the&nbsp;device<br />&nbsp;&nbsp;&nbsp;&nbsp;Info:&nbsp;Pin&nbsp;H[3]&nbsp;not&nbsp;assigned&nbsp;to&nbsp;an&nbsp;exact&nbsp;location&nbsp;on&nbsp;the&nbsp;device<br />&nbsp;&nbsp;&nbsp;&nbsp;Info:&nbsp;Pin&nbsp;H[4]&nbsp;not&nbsp;assigned&nbsp;to&nbsp;an&nbsp;exact&nbsp;location&nbsp;on&nbsp;the&nbsp;device<br />&nbsp;&nbsp;&nbsp;&nbsp;Info:&nbsp;Pin&nbsp;H[5]&nbsp;not&nbsp;assigned&nbsp;to&nbsp;an&nbsp;exact&nbsp;location&nbsp;on&nbsp;the&nbsp;device<br />&nbsp;&nbsp;&nbsp;&nbsp;Info:&nbsp;Pin&nbsp;H[6]&nbsp;not&nbsp;assigned&nbsp;to&nbsp;an&nbsp;exact&nbsp;location&nbsp;on&nbsp;the&nbsp;device<br />&nbsp;&nbsp;&nbsp;&nbsp;Info:&nbsp;Pin&nbsp;H[7]&nbsp;not&nbsp;assigned&nbsp;to&nbsp;an&nbsp;exact&nbsp;location&nbsp;on&nbsp;the&nbsp;device<br />&nbsp;&nbsp;&nbsp;&nbsp;Info:&nbsp;Pin&nbsp;Y[0]&nbsp;not&nbsp;assigned&nbsp;to&nbsp;an&nbsp;exact&nbsp;location&nbsp;on&nbsp;the&nbsp;device<br />&nbsp;&nbsp;&nbsp;&nbsp;Info:&nbsp;Pin&nbsp;Y[1]&nbsp;not&nbsp;assigned&nbsp;to&nbsp;an&nbsp;exact&nbsp;location&nbsp;on&nbsp;the&nbsp;device<br />&nbsp;&nbsp;&nbsp;&nbsp;Info:&nbsp;Pin&nbsp;Y[2]&nbsp;not&nbsp;assigned&nbsp;to&nbsp;an&nbsp;exact&nbsp;location&nbsp;on&nbsp;the&nbsp;device<br />&nbsp;&nbsp;&nbsp;&nbsp;Info:&nbsp;Pin&nbsp;Y[3]&nbsp;not&nbsp;assigned&nbsp;to&nbsp;an&nbsp;exact&nbsp;location&nbsp;on&nbsp;the&nbsp;device<br />&nbsp;&nbsp;&nbsp;&nbsp;Info:&nbsp;Pin&nbsp;Y[4]&nbsp;not&nbsp;assigned&nbsp;to&nbsp;an&nbsp;exact&nbsp;location&nbsp;on&nbsp;the&nbsp;device<br />&nbsp;&nbsp;&nbsp;&nbsp;Info:&nbsp;Pin&nbsp;Y[5]&nbsp;not&nbsp;assigned&nbsp;to&nbsp;an&nbsp;exact&nbsp;location&nbsp;on&nbsp;the&nbsp;device<br />&nbsp;&nbsp;&nbsp;&nbsp;Info:&nbsp;Pin&nbsp;Y[6]&nbsp;not&nbsp;assigned&nbsp;to&nbsp;an&nbsp;exact&nbsp;location&nbsp;on&nbsp;the&nbsp;device<br />&nbsp;&nbsp;&nbsp;&nbsp;Info:&nbsp;Pin&nbsp;Y[7]&nbsp;not&nbsp;assigned&nbsp;to&nbsp;an&nbsp;exact&nbsp;location&nbsp;on&nbsp;the&nbsp;device<br />&nbsp;&nbsp;&nbsp;&nbsp;Info:&nbsp;Pin&nbsp;Y[8]&nbsp;not&nbsp;assigned&nbsp;to&nbsp;an&nbsp;exact&nbsp;location&nbsp;on&nbsp;the&nbsp;device<br />&nbsp;&nbsp;&nbsp;&nbsp;Info:&nbsp;Pin&nbsp;Y[9]&nbsp;not&nbsp;assigned&nbsp;to&nbsp;an&nbsp;exact&nbsp;location&nbsp;on&nbsp;the&nbsp;device<br />&nbsp;&nbsp;&nbsp;&nbsp;Info:&nbsp;Pin&nbsp;Y[10]&nbsp;not&nbsp;assigned&nbsp;to&nbsp;an&nbsp;exact&nbsp;location&nbsp;on&nbsp;the&nbsp;device<br />&nbsp;&nbsp;&nbsp;&nbsp;Info:&nbsp;Pin&nbsp;Y[11]&nbsp;not&nbsp;assigned&nbsp;to&nbsp;an&nbsp;exact&nbsp;location&nbsp;on&nbsp;the&nbsp;device<br />&nbsp;&nbsp;&nbsp;&nbsp;Info:&nbsp;Pin&nbsp;Y[12]&nbsp;not&nbsp;assigned&nbsp;to&nbsp;an&nbsp;exact&nbsp;location&nbsp;on&nbsp;the&nbsp;device<br />&nbsp;&nbsp;&nbsp;&nbsp;Info:&nbsp;Pin&nbsp;Y[13]&nbsp;not&nbsp;assigned&nbsp;to&nbsp;an&nbsp;exact&nbsp;location&nbsp;on&nbsp;the&nbsp;device<br />&nbsp;&nbsp;&nbsp;&nbsp;Info:&nbsp;Pin&nbsp;Y[14]&nbsp;not&nbsp;assigned&nbsp;to&nbsp;an&nbsp;exact&nbsp;location&nbsp;on&nbsp;the&nbsp;device<br />&nbsp;&nbsp;&nbsp;&nbsp;Info:&nbsp;Pin&nbsp;Y[15]&nbsp;not&nbsp;assigned&nbsp;to&nbsp;an&nbsp;exact&nbsp;location&nbsp;on&nbsp;the&nbsp;device<br />Info:&nbsp;Timing&nbsp;requirements&nbsp;not&nbsp;specified&nbsp;--&nbsp;optimizing&nbsp;circuit&nbsp;to&nbsp;achieve&nbsp;the&nbsp;following&nbsp;default&nbsp;global&nbsp;requirements<br />&nbsp;&nbsp;&nbsp;&nbsp;Info:&nbsp;Assuming&nbsp;a&nbsp;global&nbsp;fmax&nbsp;requirement&nbsp;of&nbsp;1&nbsp;MHz<br />&nbsp;&nbsp;&nbsp;&nbsp;Info:&nbsp;Not&nbsp;setting&nbsp;a&nbsp;global&nbsp;tsu&nbsp;requirement<br />&nbsp;&nbsp;&nbsp;&nbsp;Info:&nbsp;Not&nbsp;setting&nbsp;a&nbsp;global&nbsp;tco&nbsp;requirement<br />&nbsp;&nbsp;&nbsp;&nbsp;Info:&nbsp;Not&nbsp;setting&nbsp;a&nbsp;global&nbsp;tpd&nbsp;requirement<br />Info:&nbsp;Performing&nbsp;register&nbsp;packing&nbsp;on&nbsp;registers&nbsp;with&nbsp;non-logic&nbsp;cell&nbsp;location&nbsp;assignments<br />Info:&nbsp;Completed&nbsp;register&nbsp;packing&nbsp;on&nbsp;registers&nbsp;with&nbsp;non-logic&nbsp;cell&nbsp;location&nbsp;assignments<br />Info:&nbsp;Completed&nbsp;User&nbsp;Assigned&nbsp;Global&nbsp;Signals&nbsp;Promotion&nbsp;Operation<br />Info:&nbsp;Completed&nbsp;Auto&nbsp;Global&nbsp;Promotion&nbsp;Operation<br />Info:&nbsp;Starting&nbsp;register&nbsp;packing<br />Info:&nbsp;Started&nbsp;Fast&nbsp;Input/Output/OE&nbsp;register&nbsp;processing<br />Info:&nbsp;Finished&nbsp;Fast&nbsp;Input/Output/OE&nbsp;register&nbsp;processing<br />Info:&nbsp;Start&nbsp;inferring&nbsp;scan&nbsp;chains&nbsp;for&nbsp;DSP&nbsp;blocks<br />Info:&nbsp;Inferring&nbsp;scan&nbsp;chains&nbsp;for&nbsp;DSP&nbsp;blocks&nbsp;is&nbsp;complete<br />Info:&nbsp;Fitter&nbsp;is&nbsp;using&nbsp;Normal&nbsp;packing&nbsp;mode&nbsp;for&nbsp;logic&nbsp;elements&nbsp;with&nbsp;Auto&nbsp;setting&nbsp;for&nbsp;Auto&nbsp;Packed&nbsp;Registers&nbsp;logic&nbsp;option<br />Info:&nbsp;Moving&nbsp;registers&nbsp;into&nbsp;I/O&nbsp;cells,&nbsp;LUTs,&nbsp;RAM&nbsp;blocks,&nbsp;and&nbsp;DSP&nbsp;blocks&nbsp;to&nbsp;improve&nbsp;timing&nbsp;and&nbsp;density<br />Info:&nbsp;Finished&nbsp;moving&nbsp;registers&nbsp;into&nbsp;LUTs,&nbsp;I/O&nbsp;cells,&nbsp;DSP&nbsp;blocks,&nbsp;and&nbsp;RAM&nbsp;blocks<br />Info:&nbsp;Finished&nbsp;register&nbsp;packing<br />Info:&nbsp;Statistics&nbsp;of&nbsp;I/O&nbsp;pins&nbsp;that&nbsp;need&nbsp;to&nbsp;be&nbsp;placed&nbsp;that&nbsp;use&nbsp;the&nbsp;same&nbsp;VCCIO&nbsp;and&nbsp;VREF,&nbsp;before&nbsp;I/O&nbsp;pin&nbsp;placement<br />&nbsp;&nbsp;&nbsp;&nbsp;Info:&nbsp;Number&nbsp;of&nbsp;I/O&nbsp;pins&nbsp;in&nbsp;group:&nbsp;34&nbsp;(unused&nbsp;VREF,&nbsp;3.30&nbsp;VCCIO,&nbsp;18&nbsp;input,&nbsp;0&nbsp;output,&nbsp;16&nbsp;bidirectional)<br />&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;Info:&nbsp;I/O&nbsp;standards&nbsp;used:&nbsp;LVTTL.<br />Info:&nbsp;I/O&nbsp;bank&nbsp;details&nbsp;before&nbsp;I/O&nbsp;pin&nbsp;placement<br />&nbsp;&nbsp;&nbsp;&nbsp;Info:&nbsp;Statistics&nbsp;of&nbsp;I/O&nbsp;banks<br />&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;Info:&nbsp;I/O&nbsp;bank&nbsp;number&nbsp;1&nbsp;does&nbsp;not&nbsp;use&nbsp;VREF&nbsp;pins&nbsp;and&nbsp;has&nbsp;unused&nbsp;VCCIO&nbsp;pins.&nbsp;0&nbsp;total&nbsp;pin(s)&nbsp;used&nbsp;--&nbsp;&nbsp;39&nbsp;pins&nbsp;available<br />&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;Info:&nbsp;I/O&nbsp;bank&nbsp;number&nbsp;2&nbsp;does&nbsp;not&nbsp;use&nbsp;VREF&nbsp;pins&nbsp;and&nbsp;has&nbsp;unused&nbsp;VCCIO&nbsp;pins.&nbsp;0&nbsp;total&nbsp;pin(s)&nbsp;used&nbsp;--&nbsp;&nbsp;39&nbsp;pins&nbsp;available<br />&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;Info:&nbsp;I/O&nbsp;bank&nbsp;number&nbsp;3&nbsp;does&nbsp;not&nbsp;use&nbsp;VREF&nbsp;pins&nbsp;and&nbsp;has&nbsp;unused&nbsp;VCCIO&nbsp;pins.&nbsp;0&nbsp;total&nbsp;pin(s)&nbsp;used&nbsp;--&nbsp;&nbsp;43&nbsp;pins&nbsp;available<br />&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;Info:&nbsp;I/O&nbsp;bank&nbsp;number&nbsp;4&nbsp;does&nbsp;not&nbsp;use&nbsp;VREF&nbsp;pins&nbsp;and&nbsp;has&nbsp;unused&nbsp;VCCIO&nbsp;pins.&nbsp;1&nbsp;total&nbsp;pin(s)&nbsp;used&nbsp;--&nbsp;&nbsp;44&nbsp;pins&nbsp;available<br />&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;Info:&nbsp;I/O&nbsp;bank&nbsp;number&nbsp;5&nbsp;does&nbsp;not&nbsp;use&nbsp;VREF&nbsp;pins&nbsp;and&nbsp;has&nbsp;unused&nbsp;VCCIO&nbsp;pins.&nbsp;0&nbsp;total&nbsp;pin(s)&nbsp;used&nbsp;--&nbsp;&nbsp;39&nbsp;pins&nbsp;available<br />&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;Info:&nbsp;I/O&nbsp;bank&nbsp;number&nbsp;6&nbsp;does&nbsp;not&nbsp;use&nbsp;VREF&nbsp;pins&nbsp;and&nbsp;has&nbsp;unused&nbsp;VCCIO&nbsp;pins.&nbsp;0&nbsp;total&nbsp;pin(s)&nbsp;used&nbsp;--&nbsp;&nbsp;39&nbsp;pins&nbsp;available<br />&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;Info:&nbsp;I/O&nbsp;bank&nbsp;number&nbsp;7&nbsp;does&nbsp;not&nbsp;use&nbsp;VREF&nbsp;pins&nbsp;and&nbsp;has&nbsp;unused&nbsp;VCCIO&nbsp;pins.&nbsp;0&nbsp;total&nbsp;pin(s)&nbsp;used&nbsp;--&nbsp;&nbsp;45&nbsp;pins&nbsp;available<br />&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;Info:&nbsp;I/O&nbsp;bank&nbsp;number&nbsp;8&nbsp;does&nbsp;not&nbsp;use&nbsp;VREF&nbsp;pins&nbsp;and&nbsp;has&nbsp;unused&nbsp;VCCIO&nbsp;pins.&nbsp;0&nbsp;total&nbsp;pin(s)&nbsp;used&nbsp;--&nbsp;&nbsp;44&nbsp;pins&nbsp;available<br />&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;Info:&nbsp;I/O&nbsp;bank&nbsp;number&nbsp;9&nbsp;does&nbsp;not&nbsp;use&nbsp;VREF&nbsp;pins&nbsp;and&nbsp;has&nbsp;unused&nbsp;VCCIO&nbsp;pins.&nbsp;0&nbsp;total&nbsp;pin(s)&nbsp;used&nbsp;--&nbsp;&nbsp;6&nbsp;pins&nbsp;available<br />&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;Info:&nbsp;I/O&nbsp;bank&nbsp;number&nbsp;10&nbsp;does&nbsp;not&nbsp;use&nbsp;VREF&nbsp;pins&nbsp;and&nbsp;has&nbsp;unused&nbsp;VCCIO&nbsp;pins.&nbsp;0&nbsp;total&nbsp;pin(s)&nbsp;used&nbsp;--&nbsp;&nbsp;0&nbsp;pins&nbsp;available<br />&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;Info:&nbsp;I/O&nbsp;bank&nbsp;number&nbsp;11&nbsp;does&nbsp;not&nbsp;use&nbsp;VREF&nbsp;pins&nbsp;and&nbsp;has&nbsp;unused&nbsp;VCCIO&nbsp;pins.&nbsp;0&nbsp;total&nbsp;pin(s)&nbsp;used&nbsp;--&nbsp;&nbsp;6&nbsp;pins&nbsp;available<br />&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;Info:&nbsp;I/O&nbsp;bank&nbsp;number&nbsp;12&nbsp;does&nbsp;not&nbsp;use&nbsp;VREF&nbsp;pins&nbsp;and&nbsp;has&nbsp;unused&nbsp;VCCIO&nbsp;pins.&nbsp;0&nbsp;total&nbsp;pin(s)&nbsp;used&nbsp;--&nbsp;&nbsp;0&nbsp;pins&nbsp;available<br />Info:&nbsp;Fitter&nbsp;placement&nbsp;preparation&nbsp;operations&nbsp;beginning<br />Info:&nbsp;Fitter&nbsp;placement&nbsp;preparation&nbsp;operations&nbsp;ending:&nbsp;elapsed&nbsp;time&nbsp;is&nbsp;00:00:00<br />Info:&nbsp;Fitter&nbsp;placement&nbsp;operations&nbsp;beginning<br />Info:&nbsp;Fitter&nbsp;placement&nbsp;was&nbsp;successful<br />Info:&nbsp;Fitter&nbsp;placement&nbsp;operations&nbsp;ending:&nbsp;elapsed&nbsp;time&nbsp;is&nbsp;00:00:00<br />Info:&nbsp;Fitter&nbsp;routing&nbsp;operations&nbsp;beginning<br />Info:&nbsp;Fitter&nbsp;routing&nbsp;operations&nbsp;ending:&nbsp;elapsed&nbsp;time&nbsp;is&nbsp;00:00:00<br />Info:&nbsp;The&nbsp;Fitter&nbsp;performed&nbsp;an&nbsp;Auto&nbsp;Fit&nbsp;compilation.&nbsp;&nbsp;Optimizations&nbsp;were&nbsp;skipped&nbsp;to&nbsp;reduce&nbsp;compilation&nbsp;time.<br />&nbsp;&nbsp;&nbsp;&nbsp;Info:&nbsp;Optimizations&nbsp;that&nbsp;may&nbsp;affect&nbsp;the&nbsp;design's&nbsp;routability&nbsp;were&nbsp;skipped<br />&nbsp;&nbsp;&nbsp;&nbsp;Info:&nbsp;Optimizations&nbsp;that&nbsp;may&nbsp;affect&nbsp;the&nbsp;design's&nbsp;timing&nbsp;were&nbsp;skipped<br />Info:&nbsp;Completed&nbsp;Fixed&nbsp;Delay&nbsp;Chain&nbsp;Operation<br />Info:&nbsp;Started&nbsp;post-fitting&nbsp;delay&nbsp;annotation<br />Info:&nbsp;Delay&nbsp;annotation&nbsp;completed&nbsp;successfully<br />Info:&nbsp;Completed&nbsp;Auto&nbsp;Delay&nbsp;Chain&nbsp;Operation<br />Warning:&nbsp;Following&nbsp;16&nbsp;pins&nbsp;have&nbsp;no&nbsp;output&nbsp;enable&nbsp;or&nbsp;a&nbsp;GND&nbsp;or&nbsp;VCC&nbsp;output&nbsp;enable&nbsp;-&nbsp;later&nbsp;changes&nbsp;to&nbsp;this&nbsp;connectivity&nbsp;may&nbsp;change&nbsp;fitting&nbsp;results<br />&nbsp;&nbsp;&nbsp;&nbsp;Info:&nbsp;Pin&nbsp;Y[0]&nbsp;has&nbsp;a&nbsp;permanently&nbsp;enabled&nbsp;output&nbsp;enable<br />&nbsp;&nbsp;&nbsp;&nbsp;Info:&nbsp;Pin&nbsp;Y[1]&nbsp;has&nbsp;a&nbsp;permanently&nbsp;enabled&nbsp;output&nbsp;enable<br />&nbsp;&nbsp;&nbsp;&nbsp;Info:&nbsp;Pin&nbsp;Y[2]&nbsp;has&nbsp;a&nbsp;permanently&nbsp;enabled&nbsp;output&nbsp;enable<br />&nbsp;&nbsp;&nbsp;&nbsp;Info:&nbsp;Pin&nbsp;Y[3]&nbsp;has&nbsp;a&nbsp;permanently&nbsp;enabled&nbsp;output&nbsp;enable<br />&nbsp;&nbsp;&nbsp;&nbsp;Info:&nbsp;Pin&nbsp;Y[4]&nbsp;has&nbsp;a&nbsp;permanently&nbsp;enabled&nbsp;output&nbsp;enable<br />&nbsp;&nbsp;&nbsp;&nbsp;Info:&nbsp;Pin&nbsp;Y[5]&nbsp;has&nbsp;a&nbsp;permanently&nbsp;enabled&nbsp;output&nbsp;enable<br />&nbsp;&nbsp;&nbsp;&nbsp;Info:&nbsp;Pin&nbsp;Y[6]&nbsp;has&nbsp;a&nbsp;permanently&nbsp;enabled&nbsp;output&nbsp;enable<br />&nbsp;&nbsp;&nbsp;&nbsp;Info:&nbsp;Pin&nbsp;Y[7]&nbsp;has&nbsp;a&nbsp;permanently&nbsp;enabled&nbsp;output&nbsp;enable<br />&nbsp;&nbsp;&nbsp;&nbsp;Info:&nbsp;Pin&nbsp;Y[8]&nbsp;has&nbsp;a&nbsp;permanently&nbsp;enabled&nbsp;output&nbsp;enable<br />&nbsp;&nbsp;&nbsp;&nbsp;Info:&nbsp;Pin&nbsp;Y[9]&nbsp;has&nbsp;a&nbsp;permanently&nbsp;enabled&nbsp;output&nbsp;enable<br />&nbsp;&nbsp;&nbsp;&nbsp;Info:&nbsp;Pin&nbsp;Y[10]&nbsp;has&nbsp;a&nbsp;permanently&nbsp;enabled&nbsp;output&nbsp;enable<br />&nbsp;&nbsp;&nbsp;&nbsp;Info:&nbsp;Pin&nbsp;Y[11]&nbsp;has&nbsp;a&nbsp;permanently&nbsp;enabled&nbsp;output&nbsp;enable<br />&nbsp;&nbsp;&nbsp;&nbsp;Info:&nbsp;Pin&nbsp;Y[12]&nbsp;has&nbsp;a&nbsp;permanently&nbsp;enabled&nbsp;output&nbsp;enable<br />&nbsp;&nbsp;&nbsp;&nbsp;Info:&nbsp;Pin&nbsp;Y[13]&nbsp;has&nbsp;a&nbsp;permanently&nbsp;enabled&nbsp;output&nbsp;enable<br />&nbsp;&nbsp;&nbsp;&nbsp;Info:&nbsp;Pin&nbsp;Y[14]&nbsp;has&nbsp;a&nbsp;permanently&nbsp;enabled&nbsp;output&nbsp;enable<br />&nbsp;&nbsp;&nbsp;&nbsp;Info:&nbsp;Pin&nbsp;Y[15]&nbsp;has&nbsp;a&nbsp;permanently&nbsp;enabled&nbsp;output&nbsp;enable<br />Warning:&nbsp;Following&nbsp;16&nbsp;pins&nbsp;have&nbsp;nothing,&nbsp;GND,&nbsp;or&nbsp;VCC&nbsp;driving&nbsp;datain&nbsp;port&nbsp;--&nbsp;changes&nbsp;to&nbsp;this&nbsp;connectivity&nbsp;may&nbsp;change&nbsp;fitting&nbsp;results<br />&nbsp;&nbsp;&nbsp;&nbsp;Info:&nbsp;Pin&nbsp;Y[0]&nbsp;has&nbsp;GND&nbsp;driving&nbsp;its&nbsp;datain&nbsp;port<br />&nbsp;&nbsp;&nbsp;&nbsp;Info:&nbsp;Pin&nbsp;Y[1]&nbsp;has&nbsp;GND&nbsp;driving&nbsp;its&nbsp;datain&nbsp;port<br />&nbsp;&nbsp;&nbsp;&nbsp;Info:&nbsp;Pin&nbsp;Y[2]&nbsp;has&nbsp;GND&nbsp;driving&nbsp;its&nbsp;datain&nbsp;port<br />&nbsp;&nbsp;&nbsp;&nbsp;Info:&nbsp;Pin&nbsp;Y[3]&nbsp;has&nbsp;GND&nbsp;driving&nbsp;its&nbsp;datain&nbsp;port<br />&nbsp;&nbsp;&nbsp;&nbsp;Info:&nbsp;Pin&nbsp;Y[4]&nbsp;has&nbsp;GND&nbsp;driving&nbsp;its&nbsp;datain&nbsp;port<br />&nbsp;&nbsp;&nbsp;&nbsp;Info:&nbsp;Pin&nbsp;Y[5]&nbsp;has&nbsp;GND&nbsp;driving&nbsp;its&nbsp;datain&nbsp;port<br />&nbsp;&nbsp;&nbsp;&nbsp;Info:&nbsp;Pin&nbsp;Y[6]&nbsp;has&nbsp;GND&nbsp;driving&nbsp;its&nbsp;datain&nbsp;port<br />&nbsp;&nbsp;&nbsp;&nbsp;Info:&nbsp;Pin&nbsp;Y[7]&nbsp;has&nbsp;GND&nbsp;driving&nbsp;its&nbsp;datain&nbsp;port<br />&nbsp;&nbsp;&nbsp;&nbsp;Info:&nbsp;Pin&nbsp;Y[8]&nbsp;has&nbsp;GND&nbsp;driving&nbsp;its&nbsp;datain&nbsp;port<br />&nbsp;&nbsp;&nbsp;&nbsp;Info:&nbsp;Pin&nbsp;Y[9]&nbsp;has&nbsp;GND&nbsp;driving&nbsp;its&nbsp;datain&nbsp;port<br />&nbsp;&nbsp;&nbsp;&nbsp;Info:&nbsp;Pin&nbsp;Y[10]&nbsp;has&nbsp;GND&nbsp;driving&nbsp;its&nbsp;datain&nbsp;port<br />&nbsp;&nbsp;&nbsp;&nbsp;Info:&nbsp;Pin&nbsp;Y[11]&nbsp;has&nbsp;GND&nbsp;driving&nbsp;its&nbsp;datain&nbsp;port<br />&nbsp;&nbsp;&nbsp;&nbsp;Info:&nbsp;Pin&nbsp;Y[12]&nbsp;has&nbsp;GND&nbsp;driving&nbsp;its&nbsp;datain&nbsp;port<br />&nbsp;&nbsp;&nbsp;&nbsp;Info:&nbsp;Pin&nbsp;Y[13]&nbsp;has&nbsp;GND&nbsp;driving&nbsp;its&nbsp;datain&nbsp;port<br />&nbsp;&nbsp;&nbsp;&nbsp;Info:&nbsp;Pin&nbsp;Y[14]&nbsp;has&nbsp;GND&nbsp;driving&nbsp;its&nbsp;datain&nbsp;port<br />&nbsp;&nbsp;&nbsp;&nbsp;Info:&nbsp;Pin&nbsp;Y[15]&nbsp;has&nbsp;GND&nbsp;driving&nbsp;its&nbsp;datain&nbsp;port<br />Info:&nbsp;Quartus&nbsp;II&nbsp;Fitter&nbsp;was&nbsp;successful.&nbsp;0&nbsp;errors,&nbsp;2&nbsp;warnings<br />&nbsp;&nbsp;&nbsp;&nbsp;Info:&nbsp;Processing&nbsp;ended:&nbsp;Mon&nbsp;Jun&nbsp;11&nbsp;15:20:22&nbsp;2007<br />&nbsp;&nbsp;&nbsp;&nbsp;Info:&nbsp;Elapsed&nbsp;time:&nbsp;00:00:11<br />Info:&nbsp;*******************************************************************<br />Info:&nbsp;Running&nbsp;Quartus&nbsp;II&nbsp;Assembler<br />&nbsp;&nbsp;&nbsp;&nbsp;Info:&nbsp;Version&nbsp;5.1&nbsp;Build&nbsp;176&nbsp;10/26/2005&nbsp;SJ&nbsp;Full&nbsp;Version<br />&nbsp;&nbsp;&nbsp;&nbsp;Info:&nbsp;Processing&nbsp;started:&nbsp;Mon&nbsp;Jun&nbsp;11&nbsp;15:20:24&nbsp;2007<br />Info:&nbsp;Command:&nbsp;quartus_asm&nbsp;--read_settings_files=off&nbsp;--write_settings_files=off&nbsp;fir&nbsp;-c&nbsp;fir<br />Info:&nbsp;Quartus&nbsp;II&nbsp;Assembler&nbsp;was&nbsp;successful.&nbsp;0&nbsp;errors,&nbsp;0&nbsp;warnings<br />&nbsp;&nbsp;&nbsp;&nbsp;Info:&nbsp;Processing&nbsp;ended:&nbsp;Mon&nbsp;Jun&nbsp;11&nbsp;15:20:29&nbsp;2007<br />&nbsp;&nbsp;&nbsp;&nbsp;Info:&nbsp;Elapsed&nbsp;time:&nbsp;00:00:05<br />Info:&nbsp;*******************************************************************<br />Info:&nbsp;Running&nbsp;Quartus&nbsp;II&nbsp;Timing&nbsp;Analyzer<br />&nbsp;&nbsp;&nbsp;&nbsp;Info:&nbsp;Version&nbsp;5.1&nbsp;Build&nbsp;176&nbsp;10/26/2005&nbsp;SJ&nbsp;Full&nbsp;Version<br />&nbsp;&nbsp;&nbsp;&nbsp;Info:&nbsp;Processing&nbsp;started:&nbsp;Mon&nbsp;Jun&nbsp;11&nbsp;15:20:30&nbsp;2007<br />Info:&nbsp;Command:&nbsp;quartus_tan&nbsp;--read_settings_files=off&nbsp;--write_settings_files=off&nbsp;fir&nbsp;-c&nbsp;fir&nbsp;--timing_analysis_only<br />Warning:&nbsp;No&nbsp;paths&nbsp;found&nbsp;for&nbsp;timing&nbsp;analysis<br />Info:&nbsp;Quartus&nbsp;II&nbsp;Timing&nbsp;Analyzer&nbsp;was&nbsp;successful.&nbsp;0&nbsp;errors,&nbsp;1&nbsp;warning<br />&nbsp;&nbsp;&nbsp;&nbsp;Info:&nbsp;Processing&nbsp;ended:&nbsp;Mon&nbsp;Jun&nbsp;11&nbsp;15:20:31&nbsp;2007<br />&nbsp;&nbsp;&nbsp;&nbsp;Info:&nbsp;Elapsed&nbsp;time:&nbsp;00:00:01<br />Info:&nbsp;Quartus&nbsp;II&nbsp;Full&nbsp;Compilation&nbsp;was&nbsp;successful.&nbsp;0&nbsp;errors,&nbsp;54&nbsp;warnings
xiao_mm 发表于 2007-7-19 10:53 | 显示全部楼层

1

&nbsp;不管warning,仿镇一下看看
yanxuyuan 发表于 2007-7-21 16:59 | 显示全部楼层

没有错误?

这个程序没有错误吗&nbsp;&nbsp;总感觉你的代码本身就有语法错误
您需要登录后才可以回帖 登录 | 注册

本版积分规则

15

主题

17

帖子

0

粉丝
快速回复 在线客服 返回列表 返回顶部

15

主题

17

帖子

0

粉丝
快速回复 在线客服 返回列表 返回顶部