一个是希望让其输出为初始值“1”,一个是希望计数器cnt初始不为0从而实现移相,可是在定义的后面加:=赋值模拟出来的波形居然是一样的,郁闷死我了。请大虾指教一下问题出在什么地方呀?谢谢了!<br /><br /> 这么简单的东西搞了一个下午搞不定,真是惭愧呀=.=<br /><br />下面是代码:<br />library ieee;<br />use ieee.std_logic_1164.all;<br />use ieee.std_logic_unsigned.all;<br /><br />entity div5_5 is<br /> port(clk: in std_logic;<br /> div10: out std_logic);<br />end;<br /><br />architecture one of div5_5 is<br /> signal cnt :std_logic_vector(2 downto 0);<br /> signal clk_temp :std_logic;<br /> signal d :std_logic;<br /> constant m :integer:=4;<br /><br />begin<br />process(clk)<br />begin<br />if clk'event and clk='1' then<br /> if cnt=m then<br /> clk_temp<=not clk_temp;<br /> cnt<="000";<br /> else<br /> cnt<=cnt+1;<br /> end if;<br />end if;<br />end process;<br /><br />div10<=clk_temp;<br />end;<br /> |
|