entity bj is
port (din:in std_logic_vector(3 downto 0);
dout:out std_logic
);
end entity;
architecture one of bj is
begin
PROCESS(din)
begin
if din>5 then dout<='1';
else dout<='0';
end if;
end process;
end architecture;[local]1[/local] |
本帖子中包含更多资源
您需要 登录 才可以下载或查看,没有账号?注册
×
|