请教:<br />library ieee;<br />use ieee.std_logic_1164.all;<br />use ieee.std_logic_arith.all;<br />use ieee.std_logic_unsigned.all;<br />有上面的库但用了SRL (SLL) 移位(乘除法运算,乘除2 ,4 ,16等 ),综合时报错显示:<br />No matching overload for “srl”(sll)<br />用的是Actel APA075<br />为何?怎样用移位做乘除法? 谢谢!<br /> |
|