Image
Image

loadliu

+ 关注

粉丝 1     |     主题 3     |     回帖 63

不知道这种噪声怎么来的?
2015-7-27 17:07
  • 模拟技术论坛
  • 61
  • 5240
  这个噪声和输入信号关系不大,主要是电源、PCB、以及电路结构和器件导致(最近有点忙没上论坛)。100Hz噪声 ...  
  不好意思,我的回复也没有直接对这个100HZ噪声的产生原因进行解释。或许对于我来说,看到一个电路首先就 ...  
  1、你的INPUT信号应该是个电流信号,而且这个输出电流信号的器件应该要一个高压的运行环境。电流很小,所以 ...  
这个PNP三极管怎么选
2015-7-6 15:52
  • 模拟技术论坛
  • 20
  • 1710
  我想告诉楼主,只要你真的对电路感兴趣,任何一个电路都可以拿来分析和学习,模拟电路多看图,多分析积累, ...  
这个充电电路12V能充到8.4V吗?
2015-7-3 18:13
  • 模拟技术论坛
  • 6
  • 1586
  1、LM317在输出电流1A左右时,VIN和OUT之间压降约2V,电阻RLIM两端电压1.25V,1N4001在前向电流为1A时前向 ...  
我的机器人发展之路(一)--发展方向摸索
2020-5-1 21:34
  • 机器人
  • 269
  • 25600
  请楼主一定发个照片让我等见识见识,牛人都长啥样!!!  
  第2家公司:船厂 领导和其它同事都是搞PLC的,我过去搞电子,还做了几个小产品,不过工作了1个月又不干 ...  
求问此电路原理
2015-6-28 22:57
  • 电子技术交流论坛
  • 9
  • 1092
  呵呵…  
  1,电容两端电压不能突变;2、RG5是200Ω,当RG5和CG3的串联支路有电流流过时,RG5两端电压也非常小,因 ...  
  带宽不需要那么大的!反倒是要加上RG5和CG3的RC滤波电路,对高频毛刺进行滤除。因为你没做过光电倍增管的 ...  
  这个电路有点小复杂,不过还是难不住我…… 1、光电倍增管输出信号是电流脉冲; 2、UG1B有交流放大作用,由 ...  
电源启动模式电路分析有疑问!!!
2015-6-27 20:54
  • 新手园地
  • 3
  • 1274
  C28是滤波用! D3的3脚相当于电源的正极,无论电路怎么运行,D5的3脚和D3的3脚之间都会因为C28的存在而不会 ...  
allegro16.6 死机问题
2017-1-3 11:59
  • EDA 技术
  • 11
  • 11932
  OpenGL是Open Graphics Library 的缩写,中文称三维图形标准,是由AT&T公司UNIX软件实验室、IBM、DEC、S ...  
  非常感谢!!!我上次回帖的那天真的很烦躁,当时在网上到处找,后来看别人说disable_opengl,试了确实有 ...  
  我也在使用16.6的时候遇到了类似的状况,怎么解决啊?  
共地问题,求教!
2015-6-26 17:21
  • 电子技术交流论坛
  • 16
  • 1592
  此贴我来结!!! 1、首先,在不清楚同名端的情况下假设“0VAC” 是“80VAC”和“10VAC”的中间抽头,即“8 ...  
allegro设置旋转90度快捷键!求助!
2015-6-17 10:03
  • 电子技术交流论坛
  • 1
  • 9076
  问题怎么解决的?  
Software MCSDK(1)
2016-7-29 10:22
  • 德州仪器MCU
  • 22
  • 1733
  为了积分  
请问FPGA做e指数运算方便吗?
2015-4-22 08:26
  • FPGA论坛
  • 1
  • 2877
  y=exp(x);x是18bit的AD值,请问大概需要多长时间?多少资源?用DSP是否会更快更容易实现? ...  
0-300V直流电压该如何设计采集电路?
2015-4-12 21:04
  • 模拟技术论坛
  • 27
  • 7175
2
3
近期访客