Image
Image

wzf13

+ 关注

粉丝 0     |     主题 9     |     回帖 37

认真学习,天天向上
较全的FPGA学习资料
2013-12-5 18:59
  • FPGA论坛
  • 158
  • 17122
  收的好全哦!!  
大赛倒计时啦
2011-8-10 09:29
  • 竞赛专栏
  • 10
  • 1768
  关注太多有用吗!要动手的!  
值得一看的TI技术**
2012-6-26 17:03
  • 德州仪器MCU
  • 14
  • 2496
  太多关键词没有听说过了!  
《自平衡两轮车》北京网友会上的讲义
2015-11-9 23:00
  • 侃单片机论坛
  • 98
  • 27148
  匠人就是牛!  
uCOSII V2.89源码
2012-11-22 13:04
  • 嵌入式操作系统论坛
  • 39
  • 5355
  收下,学习!  
刚到发个ARM新手入门
2022-3-5 21:33
  • ARM技术论坛
  • 925
  • 85841
  下来了就一定要去看,不然就不要去下,我是这样告诉我自己的!  
【原创】Quartus II的FPGA的设计手册
2010-12-20 22:35
  • EDA 技术
  • 5
  • 2459
  就是看不了!  
VHDL语言详解
2012-10-30 22:03
  • FPGA论坛
  • 87
  • 8222
  要学的东西太多了!  
1994~2009,历届全国大学生电子设计竞赛题目阅览、下载
2011-9-13 22:05
  • 竞赛专栏
  • 50
  • 12201
  好东西,收下,谢谢  
学习Verilog的感想
2011-5-6 17:06
  • FPGA论坛
  • 36
  • 8087
  到底是要学习Verilog还是VHDL呢  
《NIOSII那些事儿》1.0版本
2013-10-27 09:29
  • FPGA论坛
  • 45
  • 7011
  我也想知道,板子多少米可以买到?  
FPGA设计经验总结(吐血赠送)
2013-1-9 14:21
  • EDA 技术
  • 278
  • 30973
  好好学习,谢谢楼主  
C语言资料
2010-11-12 12:27
  • 嵌入式操作系统论坛
  • 49
  • 5313
  够我好好学习一阵子了、!  
FPGA 真的是本科生不能学习吗
2012-9-4 20:52
  • FPGA论坛
  • 169
  • 29060
  一切贵在**!!  
为2010江苏省电子设计大赛做准备
2010-8-15 16:39
  • 竞赛专栏
  • 15
  • 3747
  不管干什么,学习了总会有很多好处的!不要以为参加电子大赛就会耽误考研,不会的!反而会促进,呵呵! ...  
《两轮小车DIY》之《LCD模块》(动态更新)
2009-4-30 10:03
  • 书友会论坛
  • 46
  • 6892
  用的什么控制器吖  
*搞到了传说中前辈高手的《葵花宝典》手抄本,想练的就进
2013-1-3 08:59
  • 电路赏析
  • 240
  • 37825
  可以上传到ftp  
应届大四学生求指导,勿扔砖
2010-6-22 03:25
  • FPGA论坛
  • 7
  • 2109
  学习啊,就是要好好学习,老师教的只能是那样了!呵呵  
2011年全国大学生电子竞赛预测题
2011-8-13 08:04
  • 竞赛专栏
  • 15
  • 1988
  其他网站都在传的东西没有必要这样子吧!就是不想让人看!  
2
3
近期访客