管脚分配
2007-9-9 21:25
- EDA 技术
- 7
- 2732
......
当然这是在已经选好了器件的基础上。
大家帮忙看下这个程序里的CNT2变量是干什么用的
2007-9-3 10:28
- EDA 技术
- 2
- 1875
......
我问一个VHDL的问题大家过来看一下 谢了
2007-9-2 13:49
- EDA 技术
- 11
- 1756
每个音符可能会是一拍或两拍等等,我觉得cnt2用于控制这个。n代表的是已经播放到了第几个音符。 ...
library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;use iee ...
今年南京理工大学微机原理一道考研题
2008-8-25 10:34
- 电子技术交流论坛
- 134
- 29856
......
maxplus仿真为何只能从1.0ns到1
2007-9-9 19:22
- EDA 技术
- 4
- 2085
......
单片机的C语言轻松入门(书)【推荐收藏】
2008-8-3 23:01
- 新手园地
- 32
- 4501
3q
如何描述一个信号的上升沿?
2007-9-6 14:23
- EDA 技术
- 3
- 2168
if rising_edge(clk) then也可以判断时钟上升沿
2
3
近期访客