打印
[Verilog HDL]

verilog的testbench

[复制链接]
2172|0
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
gaochy1126|  楼主 | 2022-8-30 21:45 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
1、声明仿真时间单位和精度
`timescale 1ns/1ps
表示时间单位是1ns,时间精度是1ps。
2、module 模块名();
括号中没有输入输出端口声明
3、模块例化
adder add0
(
.clk(clk),
.rst_n(rst_n)
);
其中,模块的输入信号声明成reg类型,输出信号声明成wire类型;
使用.clk(clk)的端口对应方式;

4、时钟
initial begin  clk = 1'b0;  forever #50 clk = ~clk;end
5、vcs生成vpd文件
initial begin  `ifdef DUMP_VPD    $vcdpluson();  `endifend
6、打印多维数组
initial begin  $vcdplusmemon();end
7、后仿时需添加sdf文件
initial begin  $sdf_annotate("sdf文件位置",instance_name,,"生成的log文件名","MAXIMUM/MINIMUM/TYPICAL",,"FROM_MAXIMUM");end

使用特权

评论回复

相关帖子

发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

个人签名:这个社会混好的两种人:一是有权有势,二是没脸没皮的。

1025

主题

11271

帖子

25

粉丝