打印
[技术求助]

仿真总是出现问题

[复制链接]
704|17
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
wuhany|  楼主 | 2014-12-11 21:29 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
沙发
jlyuan| | 2014-12-11 21:29 | 只看该作者
什么问题啊?提示什么?

使用特权

评论回复
板凳
wuhany|  楼主 | 2014-12-11 21:31 | 只看该作者
library error primaryunit "lpmconponent" denote prefix "lpm"must exit in the library

使用特权

评论回复
地板
wuhany|  楼主 | 2014-12-11 21:31 | 只看该作者
我还没说完呢,呵呵
这个库文件 没找到 还有不知道怎么利用L PM _ROM 核预置正弦查找表在FPGA 上

使用特权

评论回复
5
jlyuan| | 2014-12-11 21:37 | 只看该作者
程序看看吧

使用特权

评论回复
6
wuhany|  楼主 | 2014-12-11 21:37 | 只看该作者

LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
USE IEEE.STD_LOGIC_ARITH.ALL ;
LIBRARY LPM;
USE LPM.LPMCOMPONENTS.ALL;
ENTITY ddsc IS
GENERIC(
freq_width: INTEGER :=32;
phase_width : INTEGER :=12;
adder_width : INTEGER :=32;
romad_width : INTEGER :=10;
rom_d_width : INTEGER :=10
);
PORT(
clk : IN STD_LOGIC;
freqin : IN STD_LOGIC_VECTOR(freq_width-1 DOWNTO 0) ;
ddsout : OUT STD_LOGIC_VECTOR(rom_d_width-1 DOWNTO 0));
END ENTITY ddsc;
ARCHITECTURE behave OF ddsc IS
SIGNAL acc:STD_LOGIC_VECTOR(adder_width-1 DOWNTO 0);
SIGNAL romaddr :STD_LOGIC_VECTOR(romad_width-1 DOWNTO 0) ;
BEGIN
process (clk)
BEGIN
IF (clk'event and clk ='1') THEN
acc<=acc+freqin;
END IF;
END PROCESS;
romaddr<=acc(phase_width-1 downto phase_width-romad_width) ;
i_rom :lpm_rom
GENERIC MAP (
Lpm_width=>rom_d_width,
Lpm_widthad=>romad_width,
Lpm_addreaa_control=>"NREGISTERED",
Lpm_outdata=>"EGISTERED",
Lpm_file=>"sin_rom.mif")
PORT MAP(
outclock=>clk,
ddress=>romaddr,
q=>ddsout);
END ARCHITECTURE behave;

使用特权

评论回复
7
jlyuan| | 2014-12-11 21:39 | 只看该作者
不懂,帮顶吧

使用特权

评论回复
8
wuhany|  楼主 | 2014-12-11 21:40 | 只看该作者
算了,明天再说吧

使用特权

评论回复
9
airwill| | 2014-12-12 17:52 | 只看该作者
错误告诉你, 你可能什么端口弄错了, 因为那个组件的prefix "lpm"must exit in the library

使用特权

评论回复
10
shenmu2012| | 2014-12-14 19:33 | 只看该作者
线帮着顶一下的吧。。

使用特权

评论回复
11
comeon201208| | 2014-12-15 19:59 | 只看该作者
重装下调试软件的试试吧

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

879

主题

10435

帖子

4

粉丝