自己写了一个RAM的存储模块来存储数据,该怎么运用里面的数据做其他的运算啊。用地址该怎么取啊。- module RAM(clk,rst_n,address,WR,RD,datain,dataout);
- input clk,rst_n;
- input RD,WR,cs;
- input [7:0]datain;
- input [7:0]address;
- output [7:0]pd_k;
- reg [7:0]datain;
- reg [7:0] dataout;
- reg [7:0] menory[255:0];
- always @(posedge clk or negedge rst_n)
- begin
- if(!rst_n)
- menory[address]<=8'b0;
- else if(WR)
- begin
- if((cs==1)&&(RD==0))
- memory[address]<=datain;
- end
- else if(RD)
- begin
- if((cs==1)&&(WR==0))
- dataout<=memory[address];
- end
- end
- endmodule
复制代码
感觉是不是已经读取了啊。 |