--2008-08-18:AM<br />library ieee;<br />use ieee.std_logic_1164.all;<br />use ieee.std_logic_unsigned.all;<br />ENTITY EXP02 IS<br /><br /> PORT<br /> (<br /> CLK : IN STD_LOGIC;<br /> RESET : IN STD_LOGIC;<br /> DERECTION : IN STD_LOGIC;<br /> CS1 : IN STD_LOGIC;<br /> CS2 : IN STD_LOGIC;<br /> WR : IN STD_LOGIC;<br /> DAT8 : INOUT STD_LOGIC_VECTOR(7 DOWNTO 0)<br /> <br /> );<br />END EXP02;<br />ARCHITECTURE A OF EXP02 IS<br /> SIGNAL CONT14: STD_LOGIC_VECTOR(13 DOWNTO 0);<br /> <br /> <br />BEGIN<br />CONT:Process (CLK,RESET,DERECTION) --可逆计数器<br /> begin<br /> if RESET='0' then<br /> CONT14<=(others=>'0');<br /> elsif clk'event and clk='1' then<br /> if DERECTION='1' then<br /> CONT14<=CONT14+1;<br /> elsif DERECTION='0' then<br /> CONT14<=CONT14-1;<br /> end if;<br /> end if;<br /> end Process CONT ;<br /> <br />LD:Process (CS1,CS2,WR) --可逆计数器<br /> begin<br /> if WR'event and WR='0' then<br /> if CS1='0' and CS2='1' then<br /> DAT8<=CONT14(7 DOWNTO 0);<br /> elsif CS1='1' and CS2='0' then <br /> DAT8(5 DOWNTO 0)<=CONT14(13 DOWNTO 8);<br /> --else <br /> --DAT8<="ZZZZZZZZ";<br /> end if;<br /> end if;<br /> end Process LD ;<br />END A<br /><br />要是取消下面两行就通不过编译,郁闷啊!<br /> --else <br /> --DAT8<="ZZZZZZZZ";<br />谁能帮帮我啊,在线等待~~~~~~~~~ |
|