<br />我学习用VHDL语言写了一个程序,但仿真结果就是不对,不知怎么的。<br /><br />请大虾指教。<br /><br />谢了哈!<br /><br />程序和仿真图在下面<br /><br />library ieee;<br />use ieee.std_logic_1164.all;<br />entity rsc is<br />port(rd,sd,clk:in std_logic;<br /> qrsd,nqrsd:out std_logic);<br />end entity rsc;<br />architecture rtl of rsc is<br />signal rsd:std_logic_vector(0 to 1);<br />signal qrsd1,nqrsd1:std_logic;<br />begin<br />rsd<=rd&sd;<br />process (rsd,clk)<br />begin<br />if clk='1'then<br />if rsd="01"then qrsd1<='1';nqrsd1<='0';<br />elsif rsd="10"then qrsd1<='0';nqrsd1<='1';<br />elsif rsd="00"then qrsd1<=qrsd1;nqrsd1<=nqrsd1;<br />else qrsd1<='1';nqrsd1<='1';<br />end if;<br />end if;<br />qrsd<=qrsd1; nqrsd<=nqrsd1;<br />end process;<br />end rtl;<br /><br />波形图:<br /> |
|