问答

汇集网友智慧,解决技术难题

21ic问答首页 - TAG - AN
  • keil MDK5编译NANO100报错,semihost例程无法通过

    给位老师好,我的keil环境如下: [img]data:image/png;base64,iVBORw0KGgoAAAANSUhEUgAAAfwAAAEKCAYAAAD3mecXAAAgAElEQVR4Ae29+7c/SVkeuv+L5Fc9a7m/MCBzZa7MgMNtFMMnhzuIQNABzAwMBtlfFOQieEHhCDE53t0xHjkxS5cRWAFcQRLB74QYhYAgCVFDAs4gJ7MHA8PAkDrrra63+qm3q7qrP7s/t+5nr7VXd9flrar3fep93qr+dPfRhz70IfeZT33K/Y+/+it3/5e+5B64//7Z/H/t/vtd//+Z+9r9/N8HHTx4/5nj/6Hp4D734P1T/B/auPP93dU82sW82dVY2a7w1RCvpfnI6Uf/8957Z0PwOLBhpZDotzF5rDOybdp8XufJZL/0MgXJ52QcwtjZR8Sinc+83havpKQ+xHfKjUd6cqjHoYHm889jFFT0eeTMvy46hknOz87cg/LP3YAd6iBH1FOm0b5bw/eG5hNJv9a3I5foeW1dKad16o8HTfjDAx6jvNqyOeXW1l1Wuckd14Yc1Pr9nJLoKGua2wPr6HGhQcaG5hMJv9bPb4pLcnKbtJkSfq3CWW7Tk3N9Mt0HJ7wOebDO7oh7X3S/D9jdTR827U8ofwxndYn/YAm/f3U/Riksu+tJtH9Bwb4QB/tx6MHD1+6/z435b8a7G7IeOw937TfY/hB3HSDh9xN7d0AEwRAI9jN/rLPZXHmS7KGT7L70fwzRY9lDIH362W36UeS5se1i3fvdXq/wx5O9DG6sQmz5VEFNH2wZXp9fz6kON0fgY1dLJPx9IcxD7weS+JhzEn7qG6b2NYcjbwouSmUcEOGfuQfub/4bg6UDSYMDAuZwQD2WkLdRnqR/6GS7L/0fQ/RS9hDIXoPzQ/Ixy+xrlyP3lvAtgSvZ67Gf9En4+wBwdQyHe1QHzOO+EOhh9GMbQen+trEPvmepffiWb/lW9/73vifsdK9J+N/6Ld/i3vLGNyYv6Pk373ufe8KttyZp6zzLnxJ7t4OSryRvj1pX+vfB972v81zi0dGR+/THP+b7efuLX1zc7pdx9OUvFTznGfdWSF4fKxo67uy5fQYKh0HQ1k77S6a986o0D3aA//P4DtZdf8EqZP93/u7fde9/73s7fChpgyv8T338454whVSR0DdB+J/++MedtKNErkdL9Hqt+RKMNITdBgy//qu/2knT8t3j+gomOPO663VMUzmgkoOz6VO1d9ByLKnN7fpASXpKTFnc6/WUbVTKol/M+8Vp9dLyHXKaELslfU0bJHwhUyFPWQULySvpT0X4Kk+OElxoYIEDECUpyeuxUVwz4FygIP2Vfls50yp8G0Y9vDa2QvaVjod9ESKcG7mXxkPS3ye809fuynff71f4SvpK9lUrfCFgIWJdMStBK+HLylq2zuUfAwIJFDRdjiJD6mo9K0fysbzUt2Qt+UL4AiTZwhdS1zLST9zWl7KaJ3389V/9FV8P+6Xb+JgvsrEfWkbSmyDiV2I+5i0B3PvkTNiXWnIrkeNc02v1wnL7MoeW4Du3P8aW9JX4hQ97V/iWnBvCbb6mJ3lyLYGAErnmSxqu1IWIJU8atDLxGlf4IlMJW48qQ64t4QuRa5CgwYnWU0KX+/nSL6t8zZd0ydfgQK6F5Bu5zTnWl/6ITCtvjtdbcQ66BTl05Op+xLv050rspXGRyKvn6tA80/wtzLc5+sxdj0lX9tWE3xBhQ+hCwHiNRK2rdSFHSddySrhylDwhaVsPr89D+LitL+03q/1mN6DpT7PCF8KWvqAxND8XELSBRUP4KFfHhLLmel7tRM7jHNTBDB3P00Z13RKhMH05twimsvWeBiFD80zzq+fM+uOcq9/c1bjwx3tK/INb+rKCtf9Ccrqi13MkfCFtJXy93y75UlbykOCtnPMQvgYVQtrNTkB7/0QJXZUvpC1lJF3SNL9E+FrOEry9VvlzPW6F9LfgXIbHMZWjpxwGB+tgYH3iHMb2/smeq7/c1bhastfH8878o3qy0i9u6cu2uCV0IWghSiVuPZd03MZvz5sf2wnJy8paykldqSfn8i9kiu1oHu4O6LnkiWy5lnrN9rpu/Z/5rXdJU4JWhcs1btNLOpI75kt9LCt902tL8PZa25vfsf594I2D3z+nUu8I13HQrENi3wQGDnketQuu1h8O+ZFcHaa1+hvWRY7stb7kFQm/Ibp2Ox8JWu5p60pdCFKIWP5lW13IWFb2UkbT5aj1leQ1T8hW2lJSl3PJ0/vxmi5HScd8OW/qNorQ1T1uu8tgldA1X9vWcpqvitH8th+NfGlL60hZe631D+c4NAHXyz9s0t+E46ZMBgTrYuAwST/vA9fzJ923FQ4TX779edd74Ow+963f+n/Ai3e64y0SPhJ06RzJuD3vPkLXbO03K3qU1dbRVXr/UQh4iYbczJinmnxdOftJ+Os6XNYjWR8CBvYrMMj7rK6v6JJ5bZkumeXbXFY5If0+PZyL8Nt79CWSx/TzEH5jNBL+lOCtnVjjypHsD4Ec2Md5BjH7TvpdX5KzQ10QMKUvXI6scxA+kvnQ+XnIXlb9JHzVwfmP3UlXM8H2k8hrHBzJLedUmTZXXNTMiX0r07VFjU9qyiyHrM/v+8/K9/B16z237V67sm862L9Nn5OfptGg9YZej8xrJlf9j97235mQ7LoOljqZi072bf7V9Cev+xq/VFeGHKIc0rvCT4lXiXtoNd/m5+urHHukUdQo6x03SfYyIWsm7j6WyTsTEhz1Mk8M7OMcrOlTF491ZF7r98gvwisjCL8l8poVfkNaltTxupW3HsHRgKneaoHflss5PDvJmjI1E3ZDZfTlH3ocHXh0HUlu3EyjnuaBgZHzUOeVHkfPr5HtFeWvjz/rs/LX5Itewk9X5y05T032Ki8lLxqnXh8tgeeBns/PO7epJu8EctQB5Y5Fp1Fqd31nktcT5VEv+4iBEv4L6bm5pWmj51ihjdFyRK9W1rCu633fsrnlKCV2XIG350rK9pgnpbZeSbaVI9d5WUxv9JIn7XqQp/XLztpOtB1eq+PJHTsOoaafw06jrJdN1K3p8zplNtHXQ5a5jg5r6uybTmr6bMrk5pamrTXHjPzJZNTpel1/2K03X94ZIPxm4OMIukT4rRLHyWvrLTMoSMm6C87x+WVim3rC9k3UgbbU8eSOkzmSvj709X2KvL62z5M3Rd/mJOM8uuyru2kd9bU9UV5ubmna4BwbM/7z9reurSl8YytjnrxTIPzuYC1J58kXyb69DZArWyev24+crPmmjSPzMpHXTZh1ttLO1+aAI1DnY4+DzmhA7mD9Wn2x3PnsT/316++8OB6ob+cVXvfOkcOyW0viY/zpPLmnmvDrSFUJvyV7Jfa6+vNU8vixjwFmU7bfcegEVQeg17s+an96juiE5LzXEU2Rv2udsP06LC9FT1NgukfGWvPr8HS/HumLb50XJx2dnZ05/lMHxAAxQAwQA8TAvDFAwmfAw4CPGCAGiAFiYAEYIOEvwMiM2ucdtdO+tC8xQAzUYICET8JnZE8MEAPEADGwAAyQ8Bdg5JrIj2W4QiAGiAFiYN4YIOGT8BnZEwPEADFADCwAAyT8BRiZUfu8o3bal/YlBoiBGgyQ8En4jOyJAWKAGCAGFoABEv4CjFwT+bEMVwjEADFADMwbAyR8Ej4je2KAGCAGiIEFYICEvwAjM2qfd9RO+9K+xAAxUIMBEj4Jn5E9MUAMEAPEwAIwMJrw/9t//5z7g498yP3eB97jfu8D7574/z3u3939Yff5L3x+h+C72128cORWpyZivPuiu3DhortbjkcrdzoEjtpyQ3J8/kCfzs7c3RcvuKOjI/gv9PF0lS3Trd/I6ujB9+fUrbQt0UlxDLXljK6L8liuJopnGeKEGCAGchgYRfj//k8+6p77gy9w3/70R7uHPf1K9/BnXOUue9ZV7rJnX+0e8Zxr3COeO/L/Odf4upc98yov62FPu9Jd/oxr3e0/8gPuk5/+5M5IX8jvwsW7k/ZzaTmFbiot1z6mna4yQYolThOESP2j1Wkyzth/CQyyZJ4GH2UZteU4MaPOrb14nccm9UK9EANrYaCa8GVlL2T/bU9/lHvkC651z37jC93tP3Onu/3tr3Av+dm73EvfcZd76Ttf6V5W+f/SdzZ1XvKzr3C3v/3l7kU/9TJ3ze23uIc97yr3bU97lLvjDXe5e+69Z61BVTlQQ35ncq0Eh+ceWEJeF9zFu8+cL4cr/MKKuVPuDFa7WD+0dXHVrs6zq+q+Pp1B/8ZMBJGJfYl1pa9hvDFNiVnyYPegV0ZNOZXLYxVuO/ag3qg3YoAYqMNANeHLNr5f2X/PVe5R/+B69xsf/C33la991X31wQcm+f/YX3zC3fLK29xlL7jGk/61z3mM+9NPfGw3hG8JFMk2IbiU/JLVblLuzMkKPO4a4OrZlyvkJc7dkDr2KQkm+rbiU1Ak/YW2Sul+UiXtirxCcFBbDtrlpE3tQ31QH8QAMTAlBqoJ/92//x73cNnG/95r3CNefK17wc+81P3Nl7/kHvrf3zz3/9e/+Q33T9/7y+7yl93oZT/8e692lz/zWvfhj35kR4Tf3BNXghYC1PN05V4gOyExT+S6wk0Dg4Qkk3K2Xgp27AeeN23hitwEB5ZUfZsSGGAdbatnTCIHgxUvt1C+tpztG683h3nqlrolBhaNgWrClx/o+Xv2L3q0+/aXXO+ufeWt7r3/8QNZsr/3y19yn/rCf3afuee/uq88+NVY5vP33ePT/8u9f+ke+MaDMf2v77vXPeutL3JX/MObvOzLXvhod/mzr9sp4XsS9Vv8hjyzBK3b8UCgWA7P/YQDmTbPXuMElbxcn7BMOK+6p59bnXeIWgOBcIx90PQC4deWy/R9yoiWstROPBILxMDSMTCK8OUHeo/8vuvc5T9wo7vyFTe7O3/x1e6+r9wfiVtX+//8j37L3fb2Z7tn/tPvc5+557M+/+vffMi97X3/t09/wS/d4b5wdm+s9+4/fp+7/tVPcFe+/GYvW3YQrnjO9bslfL+tv3KnlrjkGu9hI2EhWSblplnhn5X6hH0YRfjNrQb8zUBy6yEjt9md0J2Lvh0JM+ZEH3Q8S3c8HD/nADGwfQyMI/xnX+2+/fbr3RV3PMZd9crHuptf913ug3/2h5G4lfB/+d/9hrvxLd/lyV1W+pIuhP+Wd/9fPv1pP/ci9z/u+2uf/uUH/tbd9c9+2F3z6lvdVXfd4q644yb3yO+/zl3x3G0QfvvLdiE6+6t02TZfrVbtdr4QIBIXErzk4TWWOxu6h19DoA04sn3Cdj1Jyw4CyFTi7pSzq3PYedA6naOUaX9zIP3J/9K/ttz2QU9HQ50TA8TAEjEwivDl0btHvfQGd+WdN7ur/9Hj3KMvPt6d/OYb3Jcf+F8J6Y8h/D/+y4+5J7z577tHX7zVy7zyzsf4bf0rnnfDFlb4F9yFC2E7/oKcm2fKPWnDNr2QX4bI2+ffgWRNuWZlrFv/PeU69czEzPWp8xw+9NnI8wStz9B37uHbACC0bWQkY0Gd1ZbrBBFmjMxf9H3GJTpijpk+YBsYGEf4z73GPeplN/it92t+6Dvcta95vLv1zSv3kf/y0bUI/2vfeND9zHt/zl3/uid6WVe/6ju8bB9UfM82CB+IlyRDkiEGiAFigBiYMQZGE778kl7u33vC/+HHe7J+w+/8lPvq1x+IpF+7wv/LL33OPf0dL4yEf40SvgQVJHxOvBlPvG1E82yDq0ZigBhADExC+Le99RnuP/63j3cI/0lve6b747/6uHvwoa+7v33wK+51v/OT8R7+f/+fX3C/efdvu5vedNtuCJ9kwoCCGCAGiAFiYEEYmITwb3zjk91Pvfed7oGvf82Tvq7wb/rxp7gX/PKd7vW/+1b3qn/xevfktz0zEv4nP//n7vZf+0F3wxufTMJfEOAw2uQ5Vx/EADFADGwPA5MQvpD2U9/xfPdnX/hMQvi3/ORTnfwi/5afeKp78tue5b7v9JXxsbx/8dHfdd/xk38/Jfwf+g7/S33/O4FNb+mTZBnZEwPEADFADCwIA5MR/k1v+S739g/8vJMf4ukKX57F/7ef+SP3B3/+Efcnn/uEu+f+L7pPf+E/O1ndn/zLN7kb3/ydKeG/moTPaHd70S51TV0TA8TAkjAwGeELeT/jn7zYffaLf5UQvj6Hr8/oy1EI/yk/+9yG8N/0ZHfDG57srnvtE5tn8V9xc/MkAFf4jLwXFHkvyelwrCRZYmA3GJiU8OWe/T/54K+60w//v37rHt+0p4QvP+CTMje95Sme8G/8sduc/3/Tbf7RvKteeUvzJj8SPgmfhE8MEAPEADEwGQYmJXx5u95zf/4l7mOf+2T2XfpC+vKGPSkjwcHNP/7d7jFv+a74f+0PP95d9YOPdY+SR/9I+JMZmdH0bqJp6p16JwaIgX3CwOSE/5gff4r7tQ+/y8kX8HRVr8dv/O+H3O/8yXs90d/1rte6d3/iA+73/tP7/P+7Pvo77jt/8pnhR3sk/H0CCftCp0UMEAPEwOFjYHLCl1X+83/xB5KP4yjh3/fV+92dv/Eav5X/zg/8onvomw85/fvil7/kvvPHn+muesUtvIfPLSzubhADxAAxQAxMjIHRhG9frSuvxZUf3cmP9oTs5f+Wn/h77rf+w79y3/jmQ8kq/9J//WP3hJ9+ur9nL4R/79/+jbvrN3/Erd7xfPfYN323u+aHHuff4udfrbvpd+lPrEhGv4cf/dKGtCExQAzMGQPjCD9+POcx4eM5t7rrXvsEd/3rn+RueFMgfSH+N3+ne9Gv3Onu+fLfRMKXV+/+2O+9Lf5Q72ff//PuKw9+xX3lwa+6r339QfdrH/p/3ONe+93uylfcsp2P55DwGT0TA8QAMUAMLAgDowj/suTzuLf4x+jkh3bXve6J7voffZK74Q1P8s/Vy4t4bvmxp7h3/8n7ndy3ly39P//rz7qnvv15Pv/GN93mnv8LL3Nv/df/2P30+37O/ennPuG399/82z/j5Ff6/hO8m/487oKMPOeIlWPjiowYIAaIgToMjCP8Z13lHvl91zaPzb08fCL35FbnSf+1T2iIX8g//N/+S3e5v/nb/8//gO+X/+Cfuxt+9Enu+h99ot8NuOXNT3FC/BIsvOo3ftTfyj/9g990V9xxk3vEi691Vzznus1+HlcJX74RHz8Xe+SO8HOvWmYTx86nZAsG6yvXlxc+mXvh4t1tBN83VpHVN3bfln7e98itTrG/8lld/cxw7hPDhXq9Mq18+OSv2MPUbW0IX0DUMqvTVgdnZ+50Jf2BcmpfXz6THtsr5J3d7S5esDrB/p/3vE9+5pPGOm61STxC/7VMrW5URxZDpv5BOt4JxyTYSudGsH0JW6V01Xc8luwcbForZ4pytTJi38+L/13V75t3u+rT+dodRfgPf8ZV7rIXPbrZcr/jJnfVKxrSv+bVt/rv2cvncvH/Ma+7zb3/4x90f332Rfe8d97e5P3w492Nb7zNveP3f8H94od+3f30e/6x+w9/8afuoYcecnf+wqu97MteeI27/NmbJ/zm2/DgBJUM+ohv2yDum1xDeTCOmrFKmSRAiGM1zsa3qwScTgrfTiSBvnp9eQbUpyu3Wq3SvhXG7tvXcYd+XlhhEHLqVhcuuAtzIfxt6Uaw4IkR50tj+zxmjA0jlvYsfeIxbYzwh+xcmA9rB2B98vry9tXOa/Ur9W1r63KttjczT+oJ//ff4x72tCvdw7/3ar8Clx/WXfEPb/Lfr7/qrlvc1T/4OHf1qx7n5BO3+H/HL73avevDv+2uP3liTH/0D93q3vAvf8rd/5X7/cr+vv915l7/rp9wV9/xWPeIf3Cte/jzr3ZXPOs695GP/lGyMptW4YZwolGskaWcrlDR2Un6yl28eCHsECgBNivIGOXL5BAC8seVW10Iq8vOpIF2Lki5IC+UW/lVadOPRjaUz5BX6nikbNu/Vo+5seIYA+jEKUYSb9Ja+Y0eTlV/OK6+en15KssfpY/SdyFqIG5sB8tjetQdjP3ui03wkNFZs2uQGf9ZTteQ5u3Vt7JT/EAZ6duFi+5ix6460Wvkb1E3pV2MMI67ow2g36hjXw7w7+VdcBcvtjtsPnDwBNzoKwkkvC3H6bHFaNgRQvxEbIFNdAzJmIKOK/vp21y1Y0r8AOoD28qla37s58Ac8PrJYNfqvVMO7LWu3wGbZXfOZAy2H35c0LbVQa/MQr2kDbtbq3NF5lehvu1T37z2ZXWuHs6xmvD/8O4/dJc/41r3sOdd5S57wTWe9L/9Jdf77X3Zhr/yzsd48r/y5Tcnx+v+0ePd41/71CRNylz18pvdja96orv1NX/PXXvXrU4CCE/233u1b+OG5z3W/adPfXJzhC+A6jiAruFkAkfHk9QJoFEZHqBhwp3CalTP/UQD52ImXqcdJehQL9sHI6MlcukbTP6k390xtvVMsFIEtcgPJCp9UB3ECQMEm8iAekm69KmQB/I7DhzHGOR1V/grdyqro3AL4u6LK3fx9OK5V/hde4Ft49jMmNBe/ryErcYOic2PMvL3RTdxvGm/k92eMF61w1kIIGIgGfLTa8XwmnrUuSf9w3Ptr29T2yjNCyGKozbg7e1nM/7oV1A+nmv7ciyl2zJhjhXnQEmOTwfsmHJdHMO8PirgM5Ehtml1mNjcjsFgGNtO6/XLLNZLxooErwFHs2Ao1g87vIPzDsd1YOfVhP/5L3ze3f4jP+C+7WmP8oQsK/3LXvhoT/yP/P7r/Fa8kLY8tlf1/9IbfB2pK/fsRZas7CWgOP4/L3eveetr3Re/+MXNEr5ZtSLxNecp8FJSkjyYSLpiuTuQV5ygOIHaiZFOdNsOgDWZXMZB2DwFn6QjCQvhD461cXgy8SLgVV5ybBxgLNMJJmQsOcI39fpkQl7SHxxHmNztvfuw+sNxq37k6McvfVi5U02Hdry9S+lSLsnL2QuxUCIPqJfIW0/+1nWDurW689fW9j3jTeaL6Aswn5WFOu2Rm+i13RU6XWUwKWUHx2T7Za/TMQuZtEENBNC+X+0ORYpb8AsZvfbbOdRNxg26sunJNejRtwtjS8oZfCZ56fi7PjT0JakjabYe9sXmwXj66pk2UG/tuZVt20VbiD5SexbHl7HbPpatJnzp/Cc//Wfujtff5a59zs3uUc+81l3+rOv8j+uueO717orn3eCulP/vqfuX8v5f6j7nOi9L5MnK/jVvfZ377F98dnNkL8YRcAxNdgOg1ClZ4MDkjs6rdTgpYWQmUNKXdSYeTApLwjVjDYBtJwbIi2CWMUPUn9VjVy+6hRaDhChPJ76RGfObCZd1jtY2MmYbaMQyd7uL/j7+qVsJ8cd0M8ZSuo5TVzKZctbR42SXvHYMBQeNMvE86KIrf8e68X3UcSmZNvhoxyr5hYA3zhG1AWDej7mLo9F6jG3APIzYMnMw2tiOyfbLXqf9tIFFtFvGph4jpfTYz0o7l+TYdLyW8yn8jpdp9aZ2DUdsN9pX6+hRcaS2yaQn2/Em37YRx4c2G4NR9OlmPNE+h5U+ivAFoPfce4/70098zN9f//BHP+J/ST/VUe7Zyzb+Rlf20VDpRG0ddDPBmihdymDEh3XwXIyOoDpzQpzJD81yYIyybTsgq6+ezdOxRaArGG1fNR3H2qQVCd+3lYt2Td9tn4r12kmNK6LWDiE/cUgwAW074amE5B4ilPGO+GK41QLpnfaiTVRH4ZjUMWP2ti/pBtJRBp6L3ZLrCvlSfpu66R2jOmrbb9BhMj5JB4x73NprkYVy19FjZh7qHIltgtyYh23bftlrLAv4tPI748/hCvSlfam1c638pJy1F4wtKWfwafO0r3K0iw3N69SxbWfGrnUTmT31Om2E8cgtvDhXeur7YAL9vdTP4aOnr9rnPT2OJvzEQe7poGr7KOSWEETmV/oSoceVaQd4cG8vydMJog5LrwFMBpyddtTZmXIJMdi8aI8uqGvGKnqLK5IoS/teAn4zKVRHvh29feD7V6jXlxfaFlmdYED0XFylN32Jty9QP1KvpFMdK5bXND2avK69MuM0dRrbBUxk81p8DMnfum5ED6hD1YtJ6/RbHa0d7xjCt3X9dZ0eE51rn/Fo+u99R5IWSMPfqhNHb69lrrXzXMafxZ8dg/ahlB7yq+1ckmPTzXXHXjoWU67od0RXamPps73uGWenbZVjZZjrYj3b57gIAB+eu0+v7ebyktu2h0v0yomLJvx2cuvWkP1lpxhYJrTmtw5Z70GtVt1f6TfKFccA5S0Y7TW2s7oYfpmuZFuSEwgusyqVSZElyziW0lihLXA66TZto49WPugIJo8nf2wvnEu9vrys/tRxeD1dGPjhXZjgqOPSeZSrulZbwzHe/5c01Y/qXvTY/Pq81UfrGJJxYjnsj/TBXocVtdc71vP9NdiKYwjk0/ujxDV1o234foJuoj50zIAFJY/i+FqiHCLS8+lRbaZ9NMfeMYmu6/vp5138lT7U69g39AHT8XysnTt1M/KzdgB7rel3ZMytfyjoOts/aBuxoguv6DuszEK9XBs+Dezg9VqoH3UexoPzLifblzdY2vM0Ev7aBhLQWCCB8QUgutId28YU4BIZQL4a4fUdxanqSr2vHPPAzmNty/Kb/W2O1e955qGVNffrKfzO3HV04OMj4a9twDLhN6uRnmCg0yasFn1UO6ZumXxGEfgaAQKJv6x76mb3uhk/D3ff5+3iZjN+Z7tjWJrNzjdeEn6HfM+nUIKd+iMGiAFigBjYRwyQ8En4291ipb6pb2KAGCAGdoIBEj6BtxPg7WP0yz5xVUYMEANzxgAJn4RPwicGiAFigBhYAAZI+Asw8pwjVo6NKzJigBggBuowQMIn4TOyJwaIAWKAGFgABkj4CzAyo9+66Jd6op6IAWJgzhgg4ZPwGdkTA8QAMUAMLAADJPwFGHnOESvHxhUZMUAMEAN1GCDhk/AZ2RMDxAAxQAwsAAMk/AUYmdFvXfRLPRRr/4UAACAASURBVFFPxAAxMGcMkPBJ+IzsiQFigBggBhaAgSrCPz09dfynDogBYoAYIAaIgcPEgHPOVRP+nLc5ODZu4xEDxAAxQAzMGQMk/AVs4cwZwBwbHTQxQAwQA3UYIOGT8HnfjhggBogBYmABGBhF+CfHR+7oKPN/fOIuraOsSyfu+GjlTvvq1pTJ1V+3Xk7WUtK8zo7c0eo0mfynK7F5sJOWMThYnUKEmdX9JefxY2SnkfmpWx0du5NLVlYGc9ofsY32ychO+o021PJmDMcnl5Jxp32DPqGsszCuoyO3Xv2S3JCe1eVAnaR/A2UH5TfjS+yrOl933tv+DfZhYAxWnrm+dHJs/FbB55yuoFxbplu/wWNHJ75dwXDAa69+asudb+x1GGYbS9HTKMKPSplqgtbIqSljJnjsJ9PHEZjX9bE7XmEAd+pWx8dtYJa1R+O8ogPslCmQhrXP6cqtVquUODuyGufknbA61Jp+Y1tZmZlgA+uUzrOyDsiBVvRfdG2DmVzavs47CfwiNivt6PFlAsg4PgkMFHuJvBTnZRm15Q4IR4ke2O+IlT3Ty4SEDxErrr78gAt5HWeD5cJKL5RZ+VVmJrL2+e0KME5slC3nxyfuJCcDy+2ZcbYOmqCL1QpW2ZdOGhJWm5b0FXTsd3qSMqlzK49Jykm7EmBAwJHIAkeC6eG8t99oW6wb06Gfkn+8ciu/o6UrPcRmLk11lisX+l1YQXqdlPI6fS3I930uYFzG6OUMzJOoC9Cz1kWb+F2NgfHmdLjJMXb0pGNQXOl15bEoT/SvY7eyJE+xoTqH66jf2nJWPq/L/oO6qdHNZIQvUXRcBZgIuJiXTCpwuDIxVIYvU5JtJh/K65yXZBAoESiqM1lphy36Sycrd3IKt160THReqj+wRSxjbNqpo3WDcwykkqzIoiwoe3bm/OpJSUjL9PUb29bynbTgyH1+uiqsw/CZw3LpCi918tV5pq8oP84RGUfoc34Ogm1i2UBERn7EAuomIfiurbTNZEwdHfaM3/RhvTGm+GjHIe22gY7cklRst2W6dZOxgC5K6V6WjEMx6esYvauc2nJansdxO5XUV1FfExF+OpnPzhDoPXk40fEcDWbT7TWW9e1mHJmtY68TGd3J3+cYZpWnepGj384Uwl65U00XPeF5ojdYSfkyx+5Yf/NR2hqF+uJIlTg8kWkdLyt12P53JOhYtU9yLPUb2lJytL9HiUSg8mKdSgwnuBccYT2cExZjPXlJX1CeyscgBVaTSb1ce5l5EsdryzcBltqntZXtN/Sv074tC20kZUGG7w/US8r1YdHKxhU54DQ3Xt+G4A3rqDzoS66uLlJiXqF8bbkoR9vncVb+dgf2nYbw7UTEFUFtnpRDJ67KsPXt9Vmzqmqdd8aR2Tr2Wtta+jHq5ZI78ffxT91KCDSm9zlZcG6+vO6oSLqeNw5LVnDRXpGgIc2vyDJ2FPuIs7TOOPavp99o21i+4EBtvr0u4rsZaxybHwcQh5ej44R06VspD9vGcz8eIC+bZ69r5gnqyJ6LPD8/oU0f0Oh49BjGlWl/G2OsIQTBXwzu7DjjNeBZ0zpEbfATdaTpGRkiq7actstjccVaY2+WUTyeCd+v8eKdzmQWYMPqIlnp9OShHDxHgNv05Fpkw+TFvNK5Trikv61CFg0O0Nmp3Mc/CT+gg/SE/NFO6AyxfNS3ITisK+VNsBedspWl2/loPyhT7LdtD+tjXuyvxbO9zhGbxXoPrlBftn3Mg7GlOwYiW9rL9UMDCO1z5Tyx/UiuhejDbk+0Vc94k35n9DD5GDNtJP1v8yO2CvnqA2w5udZdDi2THo0+ijqoLdf2OW2H6dTHehiYiPDTe5fJvcWwsogTpTjRxaFkyNtOGrzGc5m8/jrjALPl1Bmup7hZAg71JHbKkQmWiQ6zh1BCGX/vMxJFqnPJ66y4pH27uxDba7ASHx/EPpX6HesqTnrsj/JCvcTZFzHcMw+wjsjEazy3eaYvtf1IAjMjozhPUEeZc28n8xRFdX82PcZMf/0cte363ZmM7TvlBNMYpOLORorf1hc0uFRf5zHvd7Bs+dpyth6vW11TF+voYjLCb1Ybuq1nJ1RDCM1WJ+RZR5RsEWaIWya1qeMnlf4oJ/yy2pMHlsNzK8PmlRzHEtJRF73nauf2mBA21o16CyTdIX1JB0zE8sHh4g8GY57iIKy4sL3Seadurs3gRFBGrHcODAcZQo7tdn/afjGv05fKfph6VfMkjrXgTL1MJEEph/2BPNO+OKeNjjHTnjrEZOxI4qZOsZzXS8AjviNC0o2MRB+I9dpyQzZgPrf3z4GB9Qj/HA3qJOSx4FSpW05oYoAYIAaIgQ1ggIS/AaUymGEwQwwQA8QAMbBvGCDhk/AZSRMDxAAxQAwsAAMk/AUYed+iTPaHKx9igBggBraPARI+CZ+RPTFADBADxMACMEDCX4CRGUlvP5KmzqlzYoAY2DcMjCL82X8e1z86g49ONef6XG2v8fCxGzw/xIBCnknWRx3liI8XxfHI43T9j2Gd+Weeu5/b7egR9YXnsa16x5E+WiX2k8ffTF+j3MyjVr79Lgbi54GlrpYxz1g3j53toj3QT5/tqnRb1kny6GXUQ/p4YfMmRNBf1JGxgeoQcVZ6iVa0F4yTaVyREwOjMTCK8KOjrnIcFZOzRk5NmakMn20r4wBz7WFdPM+V3eO0hjBTJ+6JLEP6kh5JoDNmcfCQXzvmjpwKHIHspE9D6Rv8HG+2HxtsT+bmoO1qdFvsowZPYA8rzwcbiJ0GAxowJzqxdcVWPg2CSLBf9D1MG+3kqTvA7MLxMyHhCzFqZI+TXpRdyOtMeiwXJn4os7HP4yIAOv2Rvhvi8mV0nEpo2O/2YzPZPmfb2BdAyjhyDhd0gP0XB68rOEy3Oos6NnrSdKyL55pvj1ImCUCkf9JvPWb0iX318rTshj7Hu+328DW7ib4KtkvKqL4GdGLHlNgK2kHZaCusn9S17Sv5Bz8SZGQ/b41t8ZzBADHQi4HJCF+id43kk9eGrvtqXXEO4tS9YyjJNgSFTqRzXpKhzsY4GQWOl6MkOHF72sa+HFXntf0R3SjxRn0XHL/BgV+N5oKFKAfs0umPElMoE/uBAUUTlCU7ENpXkRfrNG+AS8pl3rPv+6v1tY99n+MF+X6FBdeDK11drY9pr8Z22u+OPq0eyzop9n1IttF59w11qU2SfC+7Yv6WxsX0XhLgDkCfr5lX3kSEL44WV/VIjD156CTwHCeoTbfXWNavcmBVoH2ydey1yvDp7epd72NHMtBy8QhjQ5l4LmXtday/Z2DCFVhVH4F4/Rj7PomLmJBxV+qu0A8hYA0w43now0l8/Sn0z9zHj3VEPo7by+hiIPkdg9pTjj5okXbanZ1T32dsO/287EbawzEUdDaEw36d6PyGHRHVg7Qn5xqglNpHG/i6PXq2snUua1t4XWxvz+YX+8nAY8cYmIbwcXL6AYGzq82TcjmHYevb69I7urEcnkv/7LUaoZSu+eEoqxwNBuKPubAunve1Z+TuPNKWfuds0NPPuOLzY9ZVmJC5nqvTbdJavYkO09s2niit7kptx74C1jJlY/8CTprgTeqgDeU8EyiKPCFS7afKj33s/xxv2/YW2ov6UH1njrHfmbxwGya1T14nMTBAeXguevLXqmPdIYOdA1ve67bRk7cR5uN5lK0BSG4sTNu5L9G5wuNeBTnTED6u1ryBcTUHKzmbhxMZzxEkNj25bkgkrsAxr3QusjGvry3M8+cTt9eRv2tHhXbDvoAjtn3WlaXVqb9uHX2yorcysC6e23LJtfTp2J3Ix3V6gpSWdGElL22YOrFcpn0huBgQSB+gTO/neFE3G2+vwnbQ7w4hjNIJ6F4Dpd7fbQAOUCexbou1rB1sv+11gotWVmeMLLdX5EP7bB+rExF+E7nrFusk9/B1UutRJyte43l0xBWrRlsvJ1vT8Gjr+etztIey9+S8Q266g2IIK05W0YHkWd3Y+9DmHn6CEayL5wM6afoKOwlCJkk/hZhgJRj6erqtz/Fuub1B2/XoVurGwFn13kfOXhbsjEgdKW93Q2xa0EkOL01QOK/5FOeJ6pRHBh07xMBkhF/8Jb4fXLMybrYLjQNOonwsl5n4Iss4LXX6XvY6n8dF5RvZuclabC9uidp7uabPFW3k2t1qmnfSuh1rnsPv9D+32tPIVfKwfsa+1qYd+Sorc/RlA06CHRP7WPJBG6Hd/XlYIU/6Od4w/gTjOo5NtKek22c7yNOnalYnaWAUddPfx0bXMJ+lnrcJtmHyvQ3Czoy2D8cYdCAO8Dy2YeWqXnncqq+IWKHeD0Hv6xE+jcwolRggBogBYoAYOCgMkPAJ2P0EbGeliKvGdFV/CJE1+8gVIDFADOwaAyR8Ev5+Ej7tQrsQA8QAMTApBkj4BNSkgNp1BMv2uYoiBogBYiCPARI+CZ+ETwwQA8QAMbAADJDwF2BkRrv5aJd6oV6IAWJgSRhYj/D7HtvKEejY8jkZ50nDx3rw/DwyZ103PI4VX1E7kVPowwHtsuEVVvOIYHzsTfEreo/vLtDHCOUHkhU/jMzZzKfpDyz56NySyIRjnchP6tzcwHE04eeevZW3Y6UvPGkHPrb8xkGTc1IbUOzGx7HJPuc+kXrO9gZxQLtsmPDN+/yDPcUu+sKs+JY7yZPgLAYC7XyOuI7BG5J6Gih6m/fJOCemYl8oZ+PYoa4zc+AAcTeS8NMJ3YKgsHqo+WSnV5rIza0KRO6xOzmRN3g1+d45RWcDb1kLr/c98a9BNSsUJBM872tbyoUX+fjXqnbqzQMArQ11PEHnl+AjKaInqw99gUq1bXIrRsCN1a+/VkzoZ4i1Hyeu/KlUwJK3X65dGSuUgxfjCOkpAXrC0i/6Fcp39ad63MOjt+GJuxQdldpa9YHkXe6/D/BlB8C+pKgjX3RcJ/Og9Bj1V9YRx0Pd7CMGxhH+UNRvJ0JleXSy6cqiIYT0m+tHhW+wBweuKwofFARng2SC5+Z1r4mD9+WAaOzY5noNTjtZ8XX0McI2NThI7CK2BKLGvNAPJeUUL5lXPKMcsBliLrF7CBxP47FxXOXyh+TYkODb4MkHAKLXYwykQP+gt8SJoV18mdRuXOEfEjbY1wTbJcwfePp4wo8rngqAiKM**9XAeg0jIPSVWW8t4xl5RwJGuqiY8Jz79TRsUFfknIVYz1wICjYxUknZKr26+gD9OvHbq/BNjU46MhHnffYJakH5bJ9UpnQN18ureeJKvnaX3951d0hHNG+eK6vxI33+EWvGjyXsJ3oHnUbdmaG6pfkMp1b9MTARjAwjvBrnAAaqqZ8x2kgceC5OBR7jY4YzxvnE1eo2AaeJ9u0un0cAoCknDqzuR9Fv6oHPWZ2SbyNrS3sNdhDdDnk/DP6FvvprZz4tTpbDq877dg+qf2kbyhbziHw8zLhug8niPdDOI86MrqJ6agj1IGmw9HrCbbsrd5wl+0QdMM+boRkDiEQXkofxxF+Z0Wsk1+cB66uNR2cfjKZsLyUAaeRtGGc0ijCh7romPDct4Vta7/1IyCFvGQsUOfQ00U3hpizQZMfJ+g3e422x3PUF+CgYxfAE+bhubSbXFss2T5q27acpjdHP+YV7k71lz8sZyE6CR94SmxtfrORzMNUP3G8ie5zP/Qr2b0g79DnD/vPgGHPMTCS8Jtf+sbVVhicX4klzqOd0M32aEqctrxcJ9vIUZZ12PYaHYqcw/19vG+MjgnPzT385H6wKRed3J4b9Dz9FFvFLV0dp27Hd/RhbWGv0TYVuEH5eC798NeFnRdTtoMlXLnrmIbs7vGXjqcjN2K0xfp5dL/Nut7Oq1U754JeZIzR/qLXoTEa3Sd2Eplc4ZMAYc5tE+NsK++XRhO+V6SfyLAlOuQYBssHsvbbrBgcpE63Zkt/tToO28CwHYmOCc89GLHtQh0p16mXV+jhAk10jbrX8QXitr/I7uy2WFulhD+IG6PfJlAMGCt99jhrF7Cn/+xrIVDwK1jFsNpdxgCkJ7iN2Aa5hSDiYGzvda1jVjvLEccIWDC2iePMpSdzPdcGtsfzqEsSI4OjLWBgPcLfQsfGT4QMwexlP+nkxtt2TZ3lCImYoGMlBoiBhWKAhL9Qw2+NdLeq32aF3v7QjyvMedp5zQBwq1hkH4m9/cPAjAh//5RLwNMmxAAxQAwQA/uCARI+o35u7xEDxAAxQAwsAAMk/AUYeV+iS/aDKx1igBggBnaHARI+CZ+RPTFADBADxMACMLAm4e/nL+KT54jRePy19sjJvJ/25crgPCsD88ihzg+ZG/HRQ/zRY8UPHnPzyqfp447waJ+2x+PIuXgem7MufUaKgfUIfwOfT53CMCT81Lhr63RP7bv2eEgynmTk3QbxBVdBJ5iWzJ/kHQQZXMXn7ZHU00DRv0shBhMZGbQLyZ8Y2CoG1iB8WQVI9G9fxalv1ipE99FBSD46CXEE4ihy9UJbVZ9gbb6UtpJXogZZyVvDkjYL7fnVzsqt/Pvkw+tHk3pLcFoF+1rd6It3Km3TvLhIbQwvt5EJX8TGOPunAUHexkJqSnqekPTjQEUMzsjm3ob8PG6KkxnZl+S5VfI8RByNJ3xwGsmKwDvMlsi7zrSUl37SNK0nDh9elytt4+tz/XUrV/oT34yGeXhuXquatBfkx0BhiRNIdBBWZYl9O7oZY5t05Ze+tVDyWhsm9vBBRb39cQL2E7u0l7ZbLj8nQtBgLowJbO1tws/jkjCW6PMWNObRhC8OWVdIfmWWrJBK9/2Mw08UbPPQERsHpavK7Odxwwr/tHXQkbA8WSmp9LSXlGvlIJHM/bxo345uxtkm1Rva2NoD9b5uG1Ymtqfv9W9X+s0OE2I3LZ/2Hft3eOdoXzzXHZgY7GIwkMxXGHMHE5Inugs7OdzOZwBRwg7Td4KNkYQvDrjdlm22zpVI9X3zmo8OtC8PHIQ6iviu8nEO/3SVtlkmfO2jHkO9rAMDBzd7kPbYt6ObcbYR0hR76O2W5LaOl615aMPxbTTk3IcpxSK2M1B+TnYXXXsiNrqN6Yp30QnqSNPhaDHhr6GOv1UD/mFOeuRYdkJYcwq+dzGWcYTfcQrdVXUchEz2UoSf5IljKTkF45RGrfClbrhXnDimnvaScuDYljK5++zb0c0Y2zSEmqweSzZPsDGmDbRXj41D4OF/65HsTpUwiHLncC465edxo59aytzmOBmgnJ0J37ujGvCfnp462QKMTlsBJA5aHGfiqM23sfvyzD31VM44h+9XkOrEkaDwvK89U65GL3Mq02vfjm5G2MbW9ddhJdiLjRFtKB7DUbCQ3HrS4FPazqxwi+WN3DnY29uZn8clAcwQ23OYn5scwyjC7/186qWeLdu+7VwPumYF2Gz3wpZgZ0VvCUDqteXFabe/0m/T0x+JySqt0F6WmBa28us4gaDjc34eV0gmbufj5257sWHtba/B/tZ2WRtLfXhCIAk2Cpjo6GMGq3wMuJLxoQ4A9x3dBh3k0kWnnVtzM9BZoieOZ5OkRNmbw9cowqchNmcI6pa6JQaIAWKAGNgkBkj4jNy5tUkMEAPEADGwAAyQ8Bdg5E1GjJTNFQkxQAwQA4eBARI+CZ+RPTFADBADxMACMEDCX4CRGX0fRvRNO9FOxAAxsEkMkPBJ+IzsiQFigBggBhaAgXGEn3sMR5XUl6dl/NE+WrVuRBfkdB4XW1deRb3qMVbISnSyb+Xhcbcp+tmnt768pO2pcLNvut5Wf8wjiapb0b++o0C/XeAfq4PHWrWsPeZs59P0rYnwaJ+ty2sSLDGwdQxMR/jVxpvIcaujyjmd6r6MdLbbbGtTY6iRO/XncSfR20S4qRn/TMvIuxDiy4jCGDHNv8dCv0WRvKMgM0/i8/ZI6mmg6N+9EIOJjIyZ6nmTW7KUTRydBwPTET46dTlPvrwFLztJXqbTrDraV/D2vPgjvKwlvoNdHI68VQ/bzTqQgkxfFvNgReNl6ipF+45l1cnl0sK72rG/g33cJxArsZrPH3ubwqeD1Y41n8ftGz/m+TZO3Am8c799s6P2S3RlcYP6y9sE36TniUjfyJi8oEftivJmdB70eynOE9Sp6K1u/KLLI3nhld1d68ivl3keJ8a6M8JoxCbHtAlcb47wjwqvNlWiCG/mwxVH0Sl7UlDibYAQVyM+r+yoUGb3tb0gM65oxElZ8g/yTVtF2Zn+bsJ4G5EpfQ+rsqhjmYSdMQXSVeIM+T4Ii+Xzekv67ethufG4QXloky6xSzspCZXLz9HhIMFrYHrifAAQ7N4GWzAHSk4YbefLpHOHK/w5YohjQn9zaOcbJPzgxK3zD4R/fAyOPeMsmtffIhGAPAga+lf4qXNPPoPacVYlIIOMpA6k2/4n5Upy9zNdnHQMwnQXpWND6bshj841OP8+fWAennfabNrr4gb1CG1Gm7S48QSEgagnfyQ2a1OUPY9ztC+edwI6scXQdry1V9R52B0bqu/Lz0Ovh+b42d9l4m5HhC9kf+JWiUMQZ9tuoyfv1beOBZ2RzUMn0skDkkIZWCecy8qvfSd4JvAYkl25PbpfE0/0g+OW88zYvY5Al9lrIN+OrmCyYR6ei8zkuulbFzcgK9me13EAoXt5cD1UPmBhv2yE413jXHTg552xX0xXmWC/kh4S+6i9QL/+Pn8bcM1KjyWdMH3rP0QjrnTODh93RPiNU0hWGGarNTGidSy9K08ctF2xgROzMuNElTKw1Y/l8LzT3xrZ2Lc9PJfxJUEYfP44Gbv03RBG57pSHygXz8UeyXXbXoob1KO0WSYYf4tiFX774e3dXz7BYMQHtneI56JHfh53nrY9RDyyz9vE4k4J35IG3k9N7rcnjh9IqEMKXfAUZXqCyhC7aashnbBqMXlF2abcNg16nraESNsfyQVdanDVGVNLwE2b9npzhG9xg2PutUlmZVssPxuC784Jb2d+Hpcr0RljHH0Cz1sfsAbh61YpHO2v5S05JNeGGJI8IQmVC1uDSZlcfa0DR/0xWbJta1d/+fbEIcbt/ORTrtK2tKFysL6m2ZVp5novJ5qMDcYQ+xiI2/4iu7OiN3bxesdACWyjNp4CNwk2BNhoE8VQY7cYzEgQE3cycuXbCTJLZ+F1prrBsaIuAAsdHYc6uXS/ja+2zrWB7fF8lviKvoP23Tf7jiN8GpKrAmKAGCAGiAFi4CAxQMIncA8SuPsWObM/XM0RA8TAvmOAhE/CJ+ETA8QAMUAMLAADJPwFGHnfo072jysjYoAYIAY2jwESPgmfkT0xQAwQA8TAAjBAwl+AkRk5bz5ypo6pY2KAGNh3DIwj/NxjOEqYJk+eb46PQWmZTR99H46aj+pAW9KX9lE6gnIYlOFRvEtT62pTcqfu5xzlmUcTdX7InImPKDZlkrdcarnc0cx5jyudg/7RS3i0L1efaVxVEwNbxcB0hG8MtzvCP3bHq/BBEN8n+erbsTuOz87P0ZlPPKapP4+r2NiUXJXPY6/zyL2hENOSOZu8qyCDr/i8PZJ6GtD591nEYCIjg/bqtddwYE6dUkfjMDAd4Zto3zsPeY1peMlKXO37FQV+ZlVfTKMv64CdAVN2hbsGPg+JXeWs3GoFL/y4dOJW8lYxJHzf11J7pc+zqvxMPe+44KUl/mU90IfkZTDgIM34Tn2/IH8nDlFfoDPh53H9OGrlIoBBp2A/wZZ+4MeTStVLllDuQs87c0ZtIvoQXddhT/TPz+MuFEM78UnU9VSBzUYJP77NDInMnwOp4xvZBEx9ZU9X0dHLq3fV6UdlaF1ZSZ42ILl0sjLf7U5XIbn2otxkldNT76x53W9SDz6xWySoji72ANjSp7Aq80Fb0GOjJ7Rb2P5Vsg1jyX4eV+1aJbfVQVFvkZxSkiqXb2VGrCzScSHBh7mmK/Bgd34el1hZ9hyZt/03SvhKugKgSB6eGPpWEuDEO2XbVecpruLVeWt5OXoiEgcXPhRSXL30tKfyVH5yhHqRgBQs6FilnF3th/H3yldZ2z3iFq//nkFC6Gg3HKP00V6n466Xq+NN69sVqF/ZL/xTt+s4ZrQDnncCOsGmBgMJ7tU+JjiPZcRuYRdsqH6sAzKZxm1+YmBjGNgg4SPJDRO+BAS6/R9/YNchRCWVlvgTpxfLX3In/j7+qVsJYcX01rFUtTemXuLctJ/SHjhAdYQaAGTkJ+PZOvCl32gHOS8FJzhGGae9RsIeI1dt1KM30YvXHWJsoPzWdanj2LOj6M1j1dgrpmt/0X6aZo4Wv9Ym/j4/BommPm2yMce+Wz9CO++r/jdI+N3tX7/it04iEGLcDcB8PA/OQVYl/p78yaXuZIHyfgfgJGz7Q7oScFV7tfUGV/gFp5fI34NJIv1JApe+QM0QRh/hj5KrehDCKehNd4wW/6lb1dWYo9iNn8fdV4fMfo3BMsuOxctGCT97P9eSXPY6rNxsXnZlB0bH8n51kZGDZay8bF5hhevLtitMvH/st8J1FZ+7v6+katvb8YrHB1N6z177InrM7pLUE/44ua09OzpFvWVWqcXyOhYefZBcCppFf0kgrPou6c3i18yJZh6Ug7axzorl27lBXVAX62BgDcK3W77huXcz+b3ziL/Sb4kxt70uDihu5+PnaI3MZoBhhZJzQli+dH525qrbQxl99XxfYEt5deJOjmHMybY+pBv5Od2sY9T16pT0GrZ21/487gi5Vh9ZvYk8IKbODysVn6DnHFaWnOb1nNMPYBh3Vzp2Cc42l+4DbdpgvTlIEqPeNouBcYS/D05SnIz+kGwf+pPrQ84R5soxrXtbhjqhTogBYoAY2AgGDorwm5V5bmWy2ahoOOpsVp1xlwK284fr7rrvbJ82IgaIAWJgCRg4KMJfgkE4RjoeYoAYIAaIgU1ggITPraONbB1tAqyUSSdIDBADxMD6GCDh7MMM/QAAGeVJREFUk/BJ+MQAMUAMEAMLwAAJfwFGZkS8fkRM3VF3xAAxMBcMjCP80q/PS+nrkmnyaM+Ri+/kX1feFPWmHuMUfZpahh+jPlLVHuM3AqZuT+QV2vQfZ5HP8yZ6b38cudE+bWKcO5dpHmfU/oh+4/P2rX6j/rVc7pjYJpBCYk8+gz8XouA45hH07B3hN7/ETx2FPNO/c9LPObecEzzktF2McahNzMfzQ9bzjvouc8sGSpiWvHgneb9BxtnFoBznanhngwRq+t6KGExkZOxIDyQv2mKpGNgQ4edf4CEORR2OJ/bO8/Spw2iNYlcnefl+NXic+bytEEXieESePt7XJ0s/49uuduO75ZOXwoDT821pvaEP9+zZxOsjVDsu76wLutPX7J60n0f2do8k0eIgXcFn9BH7hG2p7bA85rf2KGMuX77FHMqeybm3IX5S2s6DVm99evABuDx6al/G1JEvOq6T2dce82aCPwZ4O/+dxEYIv9/JigMoOIKhVUUADMr3r+9UMvfkAGQS5aFjC9vEoQ7KSoKQICt51Sg4r+p6hwRyP+aCg7b66HtlsCf88AZGGX+oW/WqZasv7BOem3JFe0SspZgrl5+zcy3Pg26wnAuqjG469hAdt/X8fNK5aexFEje6pH52ToZLwOQahI+rXTxXokgn/fBnTQH4QtCdVT/k+0mROu5GfnAy1gHBNW5dtuc9fYW6HgjJ9Yh6hzSR/RjRppa01cZikx476Ao/bO32fkkv16Z8VVCJAvWO54lee+yhW8v8lG7cZsddNj3XoCwJcNUGia5hPmbtIbYIGBqqX5LLdJIfMbARDKxB+Oj0w+RPJj5MeJ34EPU3jqVdBSRRlcgZchJJW9I+rFpsHl5H2VA+2ZZXohsOHvSLe+2b9aRuod4hARf1Zftt8+w12iE5NzbycoGgO3KAUKQs5uN50r+xmBson8g2/Tn0PNGhn2M4D9Jdr2ZOgo1KY7b28Ncwt/0tnIy/KMlj+kacfOJjqeNF63hDhF+e5LKVKp+3za/kS05GnJN+MEXKoHyok3VAWjY4OLnvGIMKKwuce6+sEfUOaYLZMWPfO3lWB2CHnRC+2hlsGPrfxZzte7fOfJ2kzAN+Hne+9l0SljnWsTjeAOE331CPW4XxPjquIszqAojF3/dLCL2RF7d4++4dW1Iy141suMd/Dll4D7j7O4Iy+Yw10FbLG30lbWfyijrYOuGPx1y57/N3IjIPJOiOcxQDI/08stg7BsYFnVhM+Guu8JN5A76N6QUcUUdb23XYCOGnW97qAHCVfuYSkrQG91uBusUO93NjOVmhaT6Qa9YB2Xztj4IPZUGeleVJTNpUeZX1OnK03T089vU1m4c6UL3IuGxAZ6+lXtC1l6u2TI/+fjK2Wzr3uMC+qB37MJcrv4c2iZifsG9ej6ojlIs6AXui3rE/ufRk7ubawPZ4ziCAGNgmBsYRPk52nm8tKtsmINgWHRAxQAwQA/PEAAmfgQsDF2KAGCAGiIEFYICEvwAjM1qfZ7ROu9KuxAAxMAYDJHwSPiN7YoAYIAaIgQVggIS/ACOPiQBZlisGYoAYIAbmiQESPgmfkT0xQAwQA8TAAjCwHuEnj96Yx+b8ozrp41XyRrr4zG/uUR5RdG96V158BWhf3aG8BRh4fKSOj2aJ3uHxrKgvKWMeuSrYPamvZczrk+WZ+KSctFODsSE5iYzcOBR3S8CXeURRbSk2Cc/b63sq2jdIFnRW0Gu3fqPXZK5qu/iWy97n/QGPveXmuSIbP3+pB+qsjIHRhN9M6tQReIetkzFL3EAQ2Xx1vKlcb7hs+cYJREeSLRMG3ZcXnU9ZQcsCj9Grvodebav6Ol11X9xS0LPHi9b3ZY7d8Qq/2HbqVsfH7hgCizqMDcgx/fEyTYCwNHyJDmLgHWyJaTKP45xSW9tjrV6lngQGavtEThp8FG0T3n2hfSqX4/xdlp+ivde190jCB+IuTWDjEJqOwQTP5o8l/FBenUlJpvRx3bxkfAsBWFZX1uZiS1ndC1EDcWfrGv2HMqsV7A5cOmmCh0j4tj3VfRdD/XK0XjjW9A9tLuV1fKW6Q/hCeftwjmPy/VFbio7w3Oiur+9F3ZTsKLIlD4L7Xhk15Ub0t28szOO2/swxMI7wixE7TLjc5PVpwcnn8kXJY9O90xiQ2Sd35oZdLwJsSDW/Kgs2FjsFIkxWhAX7+VWZJU7ZIQivcL10skq/qz4GY31yjH2Lq8NCvxtSmhu+DKmDLdO3Y/ZtxcNc1x2gzM5JUd86JxUT3k6F4CDpn7RbKGdsvR7203FRBvUxRwyMJ/zM5E4U4x1ozz3RkoMdm44rklJddS64mqBzGI7ik3u0sBoPDj5uC0s5xUPB7knwoHaSo68nBBQ+5KI2QpklW9XI0bqxX+k4ImZVlpaPRyDHYpmeQDXK2S/HKUSs9sPzJuBGHcH4c2Pp1esAMXeCukL52nK5/jFteJ5TR4vT0TjCl0meROYZZ9bnHAVgpfyx6Rjtl+r2tUew14Hd61aJQEjABnNhy9XaQJx19od9Uv6SO/H38U/dSogf68p5NcZ65HTsWyAVbDupA+WLZXrwnMjKzJNd5Uf9DhB6+LCU7sTEAKnTb9CT5nWI2ow/9kHTMzJEVm05bZfHujlNPS1WT+MIH0k2AU1DBN459DlHX6d2cgdnUJKHTqVURtrry0vGoM5nwUfRqa7YQTdx6150acg4ydNVeqjrt3UxDWxxKvfxT8IX2yC9vG2bx1hZTteOsa8wtiI+Zosv0WPu87iV+kLdhXOrV7nWXYR8oCA+oObefG25bt/z7bIc9bJsDIwk/DPXceJhJRC3bhPnnVeuOISUWBpnnnUSWXniCOAXxdkyoe2+vIzzWvaEMHr1+pG0ZoUvtu+s+DRIyOq5sWu0NZaReroDgOl6XxgJoQ9jJTlI2GYciY1N202e0UO2zOHiy9vRfh63o68QGNg50inX4qPRndTTHaH8/G9+INgGBd6nZALN+nKldpieYN3akteLW+mPJnwPIO9kYWsXV319zhEA5kk/fuK2nfwdgHp50FaokxBPoYwnmsE8WGlA/zr9WExeQ3bts9jqvAsEoLs+pyfJo3VRf0H/Ppjz55lbAJiueq7FGNbF8xg4KHZ0HGbXx9fRMu1xEnzpWPbt6McM+gj9a4J51QHk1+rVy7EBQCkwApz1+o9CuX3TKfuzOPKMPu6AbL8e4R/QAA/RKOwzV2bEADFADBADU2OAhM/ghZE5MUAMEAPEwAIwQMJfgJGnjhIpjysPYoAYIAYODwMkfBI+I3tigBggBoiBBWCAhL8AIzMSP7xInDajzYgBYmBqDJDwSfiM7IkBYoAYIAYWgIFxhF/9CFPFo27mUZ9zRzLn7dvU/Tk48IRn5uFRyfhoXnxsKvPIVUHvyedutYx51rp5NNNgZfBxPPsOhzOXlSP677Op9smMt/s4numf2rVPtpbZq2Nj32R8qqNo37Ciqh1bb7lCe1EniDd4BDDm6+qOj+Wd2zd2dKq65XFpul2D8K0DbCZkx5EMgazXWawBxKw807dsmdBWX97QWOaWX9LFIXwWV20RAweL1z57zxsv8py9fblVJ21Ib5X61Wf6S35BgrSYJ23aoMO3kwYNXqYJGpfmsDneNbhBMcuj8L07qgHR6al55zkqTwhCJ6wlC3+tL/OASW7L+Re4aDnrpDHKX/nvp59cMobvyAPHXuqbHYN5u1vUS0k21p/TeXa84nxlJbb/n8VtVvzH6Vf4rH2yYzTvby+VEVl9ebatfbmWPutc8H1SmzZzpUpv+tZDeUti38uWjmWewnxPdCDz2c5xM599eVPuEHWejDs3RqZFP0tdbfy2yjSEr29bExJOJqVMWNiuwzw8D04krj5MxC+OKMlDmQoSI68FEfShWMb2e+GTMKcnSQtkkazOcmX1LXdKLlqm73O2xuat/cAWNXIUD3LU8pim58W8OeMlJXivH7WR6mVIb4PltA05FghfdH984k7kFdv+lgr4CCs/6R/YBsvxfONEkZ2P1PvB6X0iwtdJPuBkfWAQIvvE4cpExogfJ7bNg7YQcIk8IAl+Rnc8KDO6TLZ+hZx1a9WXVccNR3TUKk+Ovp7YcMOfxRVsaLuIEz0v5gG+imUGZGsbe3hEO+J54tD7xo1jypRrZYoeewjffgsD8aJtdIJA9As4x3me2E/1x+N43zdznU1E+DARM06g2SpUMsgQfqeOcbqJM4A8NE5HhjqB/r7FiVKsr3IWdOzoonHe8Ud8flWWsaPYQ5y03YGJ8no+ZytlEjtn9F0jpwoTfYQ9c7xEPRfmkegv6jljgz79RtlSr8FMvE9v6yW2Bp2vUw7r8JwkRwwUMTAN4WMknjgLmcgQ5WMenuPK3xsLHYCc4+q/4KgSeeCoin2DMtJmqf4SwWN1IdeJg25+Ge+duS2r2/loMyhT/pwt2hxtA8RRJQfqQvkY2Kk9S3mzx4voc+DzuCXdqO70aMrJ6j4NCpsgP96O03oy3xM8lWxv5r5pr2PTKB8wwLSi86f+loeTCQhfJmUNqSuphvt1ZvJ27tODQ+jk2RWkTGojrwFzT9+sI8jWXx4gvN6MLsSRd1ZqQoyyPW/KNnpvSDrd9g9Bm9RT+5m6DWFgcBceuVMsYPkeOdGRYfkqey8DL96e9vO4qJ8+vVWXg0AN64Tzzu9A1MZJ2UaGBgweH3orKSm30HlKHTCYGYmBNQhft+bbY0IGxlk0TjyUxV/umnJnfpWvMlOnn+StTvLf2/bytH577PatzYurkUhcfXm2TzN2MoltwoqwAywhx4Ffah+FH1uivNK5yvdEDnZAIijVxXSVI0ebjtf+HNoJz+NPghfswz6e+7EXfig3pDccD+oT0/25IfxO2Sa4auYgzK2+coiFTnszno8cK4l9IgyMI/yJGo0rsHXkdRwCJ/q59LmODViHDogYIAaIgYPDwAEQfrNSiKtx3Q4m2A4ObAxMGJwSA8QAMbA7DBwA4e9OOQQmdU8MEAPEADEwFwyQ8LlTwJ0CYoAYIAaIgQVggIS/ACPPJTrlOLjSIgaIAWJgfQyQ8En4jOyJAWKAGCAGFoCBUYQvr8psfzwH59t4XKb063yfDn0pPl4Fj/6gYUtyscxSzku6wHQ8z+nF57f2SB5zk/KDj91VfP42kdFn17YfitukP31j6cvLjXvv08xjctpfGaedv7Vjz5bDH9mWHv0r9CW+tGnAbtp3fJTXjiGWWX81xJUkdTc3DIwi/Dj47ETfMDhKbWbTl/EClWiPqZxbVpfmefZSGd+H8Gy+fsnQl22dft2LdY7d8erEXYpjkreyHbtjfXOfab/4MhZTrtHVsnEhutKX2Ch2OmkxmCoEUmqXQrnkhTpSJkPEDQ7gZV0qM3csyLCv7i3iICeTaVzNLxQD0xG+d7BtZB5XUpIeXrhzpE47iczt525zL+TIpYUAI+vYA0mpsymVEaOvmzdHwJR0gel4bnUgztm8Ca0lABMMxLqw2guyV6s2SBD7rOStcBE7JrAs9acv/by4iH03fdn3dNGJjt33VXTf6lps5d+CWPrsbRhfuZzYeCBQ8H3o+3Qu6rSEGSlj2irZe99twv4x+NgiBiYifDMxcfL58zSaF4cRVxp+pZA6Hc1LonaUiQoqpXuHEOQWywwQPrazhPOSnjAdzwd1ArgortTAwavsvs/omjYTjGCeysI0fw59KpaZKy5SgvfBbhIABFv06QX1acvJde9nb7V9CPJQnjkv2lbKhbaSnSC+o4PkaTCkO1k8NnN7IsIHp+0VDtG3dQo2MsfP1yJJD8oZck7qXAacd6d/diwLui7pAtPxvHdyNU5dgzd/796s/juTUGXL0ZcVGeYzutqmLxtWpHoLQfPkqLIwzZ8vGxdComoTPE9sUdSdmQu2XLBJurvX3p5p22uwEct1bCTtQGCWy+8EkAPlczKYxgBhYRiYlPCbrT7d1g9bezmnkKwqwAH7Sa719TiwSrfyowHBARTL9BGDcW5R7ozTS3rCdDwv6kR0D7s4Uk7qJXbP6DHK7vmMbqdNsDPmRVm2HShfLDNjXEQ74LwzOurTS5+Oo2yVZ3Qd7V9B+B1CV5nh2NcW9pHnJHViIGJgIsJvHHyM2NFh4LlXvJTF+3zoeGweTPKOHJj4ibyQjg6jVFf605e3OKCAg8axi47UWQ/py+ent3Ca1WNBNn43HWSXP6MLmAh9lEAzYk/7DbKS1evicSHzbTOfx/WrcsWJt0Nrc789H56g0Scm5Ki7DYmNzpqvJJbyWjyBHynZW/HAY3T6Vte87vqUuepkGsK3k81fl1fmtffw/TawOhDbhk7gbLo4GiCBbJmBgEHlL+zod2mSrfdmNRad76AuQe9Gd43TBycdHHv8JTfKFmLWe7I2XTHh5bekkkxSrBP7QVyIjsQO/oeQJ5fyJJDVXcYpZsolwZfkJ7ZSGUMrfFwEaB17THHpsZXg1pbndTI/4pygXpakl2kI3z4/2/sZXAFY43h9pN/53C3kqcP34GwmePtL/wBU73R0+789Jiu+Qpn2e+5tvbj6EOeRcWhLAIcnfViNRbIXO/TosrSKS2zhiRz0jYSA+i6dW6whRjp1oJ3i+xm6ZQZxcejO0uup/aFsB9Oox2jzNFDzdWw5rxecv5k6vowh/I4ckZHpX7ZcsB/i6NDtw/7nA1Hq5dx6WY/wp1R8ZxIz4uw44Cn1TVnnnjS0D+coMUAMHCIGdkD4ulLXlVUmkicpkZSIAWKAGCAGiIFJMbADwmdkeIiRIftM3BIDxAAxcNgYIOEzgpw0gqRDOGyHQPvRfsTAfDFAwifhk/CJAWKAGCAGFoABEv4CjMyIfb4RO21L2xIDxEAtBsYRvv9Fvf7Yrj0mj13V/uq+tlwtIZ+3b1P3p7bfe1PO/piytW98Tj73utOC3pPHJ7WMeU66efzPPLo1+NhexedzVad9NtU+weOH8khmNZb7ZGv7e3U0j8Jp32Qc9pG22rH1liu0p+2GFy41j8H2/XAXHvOz/Yyy6PBrHT7LLRsraxC+cdDhmfrEUdZMxF5nsYZRsvIaZxH7li0T2urLqxnPnMqUdCEftZEv1+ELWwpl/TP56qB9mYHP3sbn61N8+aBgpBzv1GLgkMqLDi/b73njRWyS2C7oPEkb0pvifKCcvpMhzj2tF47JC3pElto4KZcGDV6mCRqjPZN6a/gP1ueW/gIwMAHhm/ekW0fqr9vVYnQAthy+jKfzqlyM8u3ndAcIW9pRZ9JpExzDunlzBElWF+J8ZSUm36dvP4hSfDkRygjn/Z+9FRvnVnrg9KvkNK9lHfzMK/YPbSjpOr5SGSnfl4fy9ukcx+b7pTZt5kGz43LsTtb+PC7MRXz5VkcHYutCIJaUNeUOUefJeMDfMJ0Bxg4wMA3h41ZvMimNE8c8PLfvzjYRvziiuArxK4sMMRh5beQPfSiWOVAHvinA5PQkaYEIk9VZrqyu1i1x9n321ti8tR84SW2rTw7qRMtjmp4X8+aMl5TgfdCiNlK9yLGoG7BFsZy2AYEaytZ6vZ/RxcABgkv0M1Ymr0mgxMAgBiYifJ3kQ84CIvbEqUC6Nxo4XT/JcTUAbaGBE3nomKB8scxQv1HeAs4zekq2g4WcdWvVl213cOKriZFIVJ4cfT2xifnsLcpEu+J5jZxceUzTc5Wl1/E4b7ygHfE8CbCKujHYz5RrZYoezW8iVMe+HuTJNeJFy3WCQPQLpi9ah8dBp5/YmvpalL4mInyYiBkn0GwVKikE8sZyeO4BaJxu4gwgD8HakaEOob9vEfzF+ipnQceOLhrnHcnc/9AtY0exhzhpuzUf5fV89lbKJHbO6LtGThUm+gK8meMl6rkwj0R/Uc8ZG/TpN8qWeg1m4i08Wy+xNeh8nXJYh+eLIrDov2n3KrtPQ/gYiSfOQiayieT13l2nHK7i0QHIOeYVHFUiDxxVsW9QRsBSqr9EIFldyHXioJv75N6Z27K6nY82gzLlz96izdE2QBxVcqAulO84hlLe7PEi+tzM53FldZ8GhU2QH2/HxblkfgdS3Ko3c79ksygXbM+0KgLozAvqbdZ6m4DwZVLWkLqSarj/biZv5z49EEwnz64gBaRGXgPknr5ZYGfrL9SBGF2II++s1IQYZXvelG303pB0uu0fgjapp/YzdRvCwOAu/ABPsYDle+REJ4blq+y9DLx4e9qnLVA/fXqrLgeBGtYJ553fgaiNk7KNDA0YPD70VlJSbqHzlDqYNTlHPzahndcgfN2ab48JGRhn0TjxUBZ/uWvKJZ/MxdWhH2zjiP3qofM53TDZvby2T7rS6PatW6Ylrr68lIg2YYy9kZnYJqwIO6ATm/T8ojvYwztrlFc6V/meyMEOSASlupiucuRo0/Han0M7S/p8rh975oevqjvUU06PpXKa7o+G8K1Mv6pX/cPc6iuHWEjaIuHvje+gXfY6CBlH+PtgzI5D4GTnZCcGiAFigBggBoYwcACE36wUdMUet4P3IfhgH/Y6mh0CP/PpIIkBYmBJGDgAwicglwRIjpV4JwaIAWJgMxgg4XOVzlU6MUAMEAPEwAIwQMJfgJEZLW8mWqZeqVdigBg4JAyQ8En4jOyJAWKAGCAGFoABEv4CjHxIESj7yhUTMUAMEAObwQAJn4TPyJ4YIAaIAWJgARgg4S/AyIyWNxMtU6/UKzFADBwSBkj4JHxG9sQAMUAMEAMLwAAJfwFGPqQIlH3liokYIAaIgc1ggIRPwmdkTwwQA8QAMbAADJDwF2BkRsubiZapV+qVGCAGDgkDJHwSPiN7YoAYIAaIgQVggIS/ACMfUgTKvnLFRAwQA8TAZjAghP//AxbIpexw+uMDAAAAAElFTkSuQmCC[/img]在编译NANO100工程时,遇到如下问题: [img]data:image/png;base64,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[/img]在retarget.c中找到SH_DoCommand这个函数,发现可能是版本或编译设置的问题,没被定义; 采取直接的方法,将其复制放在void SendChar(int ch)函数上面,编译失败如下: [img]data:image/png;base64,iVBORw0KGgoAAAANSUhEUgAAAy8AAADTCAYAAACIlSzPAAAgAElEQVR4Ae2d2dX1KnKGdz6KR0E4C4Xia107FIXhsT112+7G5wUKFYhJ0x7fs9Z/pE+IouqhGAqkrcc//MM/mH/913+1//7+7//e/N3f/Z3hfyRAAiRAAiRAAiRAAr9H4G9/+5tp/fvrX/9qcv/+7//+z6T//vd//9fIv//5n/8x8u8vf/mLkX9//vOfDf7993//d/j3X//1Xwb//vM//9P++4//+A8j//793//d4N+f/vQn++/f/u3fjPyTOe2//Mu/mKv+/fM//7O5+98//dM/mU//94//+I/mGf8eDF5+r2OixSRAAiRAAiRAAiSQI9AKXCQ9F7zgWi14QRAjwQuOafDSCmAQyJQCGAQyaQAjgUx6vCqoqcm5O9h5hvx3C6YkMGLwkmu5vEYCJEACJEACJEACP0hAgpPWsTd4QTAjOy+l4AVBjOy+6ABGdl/0DkwugGntwqTBy96/a0HKp6Q9I9jZW8bR4IjByw92TDSZBEiABEiABEiABEoEWoGLpPcGMDp4eUYAo3dhZDdGH/cGL+94/zsGTXuDl6P3f03wMo8PM0xLqR3y+o8TmMfBPB4P/28w4xwDKfrPPIZ8d/pXS79YW/7VQwB1ijqXelsm5QOpA/QIPHDPssxmnkYzDKseqZjFpz8eQ9BV31NPX8ykfHsYUdaks19yXmwfl0inEBIggXcjIMFJ69gbvKS7Lz0BTO79l9IODB4lS9+DaQUwOpi54/wdA569Or1jgASdPiJ4mYbRJHPNd2vn1OedCSyTGYbRnAltMfGVSfDlpl6g3+U6XSjwle0XQSHqPvQflvX1k/smrnnM+096fR7jwLqRDr8c1aLNMsPXX2BfEwBvIAES+DQCrcBF0nsDmDO7LwhkWo+Q6QBGP0YmL/WfOd4R3Dxb5t7A5R3vl2CqI3hZTLQqPExmHAYj46Ve3bQrjFjdjiYLsxkHWfF+mGGc4knkDHmSjoE4TDOMwUQjrJbLPY/N4C4r6unkUlZa7WqkyNG62Z5E6Ye0ya20p7Jync5k9R7MhJVTJV/YIM8ZPpfIN8nK7DCtEzlrVL1+zaL4YBU7rb9Uvp0s6slTUn4rPdGvyS9XMeqa5BcfsUc9uevcWSkGL00+SpnMaVO/lO/FfEwqX9dPaH9re5c29QgMK/Ub8q9tF/yjTY8iv9mMvi9x/cNoZkzk/TXVS2SorpfQd9n2KYWmwUul/xFb6/1HYn9SP0GTNAjxCVY/HVVDP9HV9h8re5slSXd+Ocd9aijUmFb7Ff9Df5ftv1vto1h/okTCR/uX3FI9Nvqnal4mkgAJnCEgwUnr2Bu8HNl9kfdgju7CyG5MejwTyNyV99nBzB3lPSvgaQYvGFzHWY2uGKweyYBqB7jBDroLbl1mY4/GmGlMVryRf4wnt3IvGhkGUzV223bXs3JbmlzaCQiCEm8C7NGByYRATBKXxSBg0Omthm/vx4Q+IIIMtdLrjDL2kZDRc9nB57R8FWhaW+xkYw0gW/Xbqr908rTAF8LEFvEneK+TqzTd8g/sZLK16md1rvhXq36cze3V6JL/iPxSeouP5K8e0wm1uvluPq36MZtJd+zfzfzoA9L2oO2r9Q/g4vsaPDr1eLh27HxWCamc4l4sJsjR**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**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[/img] 由于水平有限,上面涉及汇编的关键词,不太理解。 希望各位老师能帮忙看看,如何修改,可以实现调试的时候使用semihost功能? 谢谢~

    ST No 编译 Keil MDK AN 单片机

    461浏览量 5回复量 关注量
  • NA sos

    [i=s] 本帖最后由 善水789 于 2024-4-12 17:05 编辑 [/i] NANANANA

    AN

    1039浏览量 0回复量 关注量
  • nuc980 ramfs如何重启后保留一些数据文件 sos

    NUC980DK63YC官方的内核通过spi nand烧录后默认配置是ramfs,每次重启后整个系统就重置了,我想要保留一些数据文件该怎么操作?我有试过重新建立分区,但是在串口调试上面fdisk没有任何反应

    烧录 调试 操作 AN RAM NUC980

    1023浏览量 0回复量 关注量
  • GD32E103 CAN 功能收发问题 sos

    诸位兄弟姐们打扰了,最近在测试GD32e103的can功能, 根据资料范例程序和网上相关帖子测试程序收发均有问题, 希望哪位兄弟有成功经验的能够传授一下,甚是感谢!!!

    CAN AN 测试程序

    1561浏览量 0回复量 关注量
  • GD32使用DMA发送问题 sos

    [i=s] 本帖最后由 tyw 于 2021-3-8 14:02 编辑 [/i] [font=Consolas, "][color=#000000][backcolor=white]void u3_printf(char* fmt,...) { va_list ap; va_start(ap,fmt); vsprintf((char*)USART3_TX_BUF,fmt,ap); va_end(ap); dma_channel_disable(DMA0,DMA_CH1); dma_memory_address_config(DMA0,DMA_CH1,(uint32_t)USART3_TX_BUF); dma_transfer_number_config(DMA0,DMA_CH1,strlen((const char*)USART3_TX_BUF)); dma_channel_enable(DMA0,DMA_CH1); usart_dma_transmit_config(USART2, USART_DENT_ENABLE);//使能串口DMA发送 while(RESET == dma_flag_get(DMA0, DMA_CH1, DMA_FLAG_FTF)){}; /* wait DMA Channel transfer complete */ memset(USART3_TX_BUF,0,USART3_MAX_SEND_LEN); } [/backcolor][/color][/font] 如上代码:第一次发送正常,第二次发送,对端只能收到相应长度的空字符怀疑是第二次发送的时候没有从首地址开始发送,同样代码stm32是正常的

    DMA SAR USART AN ST

    1359浏览量 0回复量 关注量
  • GD32的CAN_EWMC是什么中断?

    请教各位:GD32的CAN中断中,除了发送、接收中断,还有一个比较特殊的EWMC中断。请问这个中断是起什么作用的呢?

    CAN AN

    1554浏览量 1回复量 关注量
  • ZYNQ7020学UART总线AN3485模块 但串口测试小助手收发显示不出来

    按照教学配套的视频操作,视频最后串口发送成功,但我的一点反应没有。使用配套的代码也没反应,我之前也没用过,按着给的照片接的线,不懂是不是接错了?

    UART 总线 串口测试 zynq7020 AN

    2643浏览量 2回复量 关注量
  • RGMII标准时序疑问 sos

    请教一下,为何作为transmitter会对RX的时序做要求,作为receiver会对TX的时序做要求?[attach]1592872[/attach]

    RGMII 时序 AC AN ce

    540浏览量 0回复量 关注量
  • blank sos

    [i=s] 本帖最后由 yijitong 于 2020-12-11 15:11 编辑 [/i] blank

    LAN AN

    434浏览量 0回复量 关注量
  • CC2640的I2C问题请教

    我用CC2640 的I2C 模块给OPT3001光照芯片 写值,发现写不进去。该芯片寄存器是16位的,咱I2C模块可以写16位寄存器的值吗??? 我就想给0x01寄存器写个0x54,0x10,代码如下: void Set_OPT3001(uint8_t limit) { Reset_OPT3001_Register(); txBuffer[0] = 0x54;txBuffer[1] = 0x10; i2cTrans.writeCount = 3; i2cTrans.writeBuf = txBuffer; i2cTrans.readCount = 0; i2cTrans.readBuf = rxBuffer; i2cTrans.slaveAddress = 0x44; I2C_transfer(handle, &i2cTrans); }

    CC2640 I2c AN trans buffer

    13803浏览量 4回复量 关注量
  • 4层以上板还需要分模拟地和数字地吗

    有个产品主要就是STM32加485、232、CAN接口和ADC采集,因为空间很小要用四层板,第一次画四层板请教下大家: 四层板有一整层完整地,还有必要像两层板一样分模拟地和数字地再进行单点接地吗?如果非要分一般如何分?我想了两种方案分法不知道可不可行: 1、中间第2层走电源的GND,表层和底层除了信号线同时还走模拟地和数字地,然后模拟地和数字地通过过孔连到中间的电源GND层,也不需要通过电阻和磁珠再连接模拟和数字地了。 2、中间第2层也分割成模拟地和数字地,最后通过电源GND的端子引脚汇合到一起。 或者这两种都不对,一般大家都是如何除了多层板地的问题的?

    模拟 电源 AN CAN接口 dc

    1584浏览量 9回复量 关注量
  • 与Android相比,iOS的缺点有什么?

    与Android相比,iOS的缺点有什么?

    Android ios os IO AN

    575浏览量 1回复量 关注量
  • ios对比Android的优势

    ios对比Android的优势有哪些

    Android ios os IO AN

    938浏览量 1回复量 关注量
  • HC32L136开发板移植rt-thread nano的问题

    [i=s] 本帖最后由 Joen23 于 2020-11-23 15:58 编辑 [/i] 各位大佬,有使用过HC32L136开发板移植rt-thread nano的吗?移植rt-thread nano在rt-thread官网上有详细步骤,需要针对芯片自己编写几个函数,其中我看了rt_hw_console_getchar函数,例子里的rt_hw_console_getchar函数体是这样的[color=rgb(114, 142, 0)][font=SFMono-Regular, Menlo, Monaco, Consolas, "]char rt_hw_console_getchar(void)[/font][/color] [color=#434f54][backcolor=rgb(255, 255, 255)][font=SFMono-Regular, Menlo, Monaco, Consolas, "]{ [/font][/backcolor][/color] [color=rgb(0, 151, 157)][font=SFMono-Regular, Menlo, Monaco, Consolas, "]int[/font][/color][color=#434f54][backcolor=rgb(255, 255, 255)][font=SFMono-Regular, Menlo, Monaco, Consolas, "] ch = [/font][/backcolor][/color][color=rgb(138, 123, 82)][font=SFMono-Regular, Menlo, Monaco, Consolas, "]-1[/font][/color][color=#434f54][backcolor=rgb(255, 255, 255)][font=SFMono-Regular, Menlo, Monaco, Consolas, "]; [/font][/backcolor][/color] [color=rgb(0, 151, 157)][font=SFMono-Regular, Menlo, Monaco, Consolas, "] if[/font][/color][color=#434f54][backcolor=rgb(255, 255, 255)][font=SFMono-Regular, Menlo, Monaco, Consolas, "] (__HAL_UART_GET_FLAG(&UartHandle, UART_FLAG_RXNE) != RESET)[/font][/backcolor][/color] [color=#434f54][backcolor=rgb(255, 255, 255)][font=SFMono-Regular, Menlo, Monaco, Consolas, "] { [/font][/backcolor][/color] [color=#434f54][backcolor=rgb(255, 255, 255)][font=SFMono-Regular, Menlo, Monaco, Consolas, "] ch = UartHandle.Instance->DR & [/font][/backcolor][/color][color=rgb(138, 123, 82)][font=SFMono-Regular, Menlo, Monaco, Consolas, "]0xff[/font][/color][color=#434f54][backcolor=rgb(255, 255, 255)][font=SFMono-Regular, Menlo, Monaco, Consolas, "]; [/font][/backcolor][/color] [color=#434f54][backcolor=rgb(255, 255, 255)][font=SFMono-Regular, Menlo, Monaco, Consolas, "] } [/font][/backcolor][/color] [color=rgb(0, 151, 157)][font=SFMono-Regular, Menlo, Monaco, Consolas, "] else[/font][/color][color=#434f54][backcolor=rgb(255, 255, 255)][font=SFMono-Regular, Menlo, Monaco, Consolas, "] [/font][/backcolor][/color] [color=#434f54][backcolor=rgb(255, 255, 255)][font=SFMono-Regular, Menlo, Monaco, Consolas, "] { [/font][/backcolor][/color] [color=rgb(0, 151, 157)][font=SFMono-Regular, Menlo, Monaco, Consolas, "] if[/font][/color][color=#434f54][backcolor=rgb(255, 255, 255)][font=SFMono-Regular, Menlo, Monaco, Consolas, "](__HAL_UART_GET_FLAG(&UartHandle, UART_FLAG_ORE) != RESET) [/font][/backcolor][/color] [color=#434f54][backcolor=rgb(255, 255, 255)][font=SFMono-Regular, Menlo, Monaco, Consolas, "] { [/font][/backcolor][/color] [color=#434f54][backcolor=rgb(255, 255, 255)][font=SFMono-Regular, Menlo, Monaco, Consolas, "] __HAL_UART_CLEAR_OREFLAG(&UartHandle);[/font][/backcolor][/color] [color=#434f54][backcolor=rgb(255, 255, 255)][font=SFMono-Regular, Menlo, Monaco, Consolas, "] } [/font][/backcolor][/color] [color=#434f54][backcolor=rgb(255, 255, 255)][font=SFMono-Regular, Menlo, Monaco, Consolas, "] rt_thread_mdelay([/font][/backcolor][/color][color=rgb(138, 123, 82)][font=SFMono-Regular, Menlo, Monaco, Consolas, "]10[/font][/color][color=#434f54][backcolor=rgb(255, 255, 255)][font=SFMono-Regular, Menlo, Monaco, Consolas, "]);[/font][/backcolor][/color] [color=#434f54][backcolor=rgb(255, 255, 255)][font=SFMono-Regular, Menlo, Monaco, Consolas, "] } [/font][/backcolor][/color] [color=rgb(0, 151, 157)][font=SFMono-Regular, Menlo, Monaco, Consolas, "]return[/font][/color][color=#434f54][backcolor=rgb(255, 255, 255)][font=SFMono-Regular, Menlo, Monaco, Consolas, "] ch;[/font][/backcolor][/color] [color=#434f54][backcolor=rgb(255, 255, 255)][font=SFMono-Regular, Menlo, Monaco, Consolas, "]}[/font][/backcolor][/color] [color=#434f54][backcolor=rgb(255, 255, 255)][font=SFMono-Regular, Menlo, Monaco, Consolas, "]需要使用到串口状态寄存器中[/font][/backcolor][/color][color=rgb(67, 79, 84)][backcolor=rgb(255, 255, 255)][font=SFMono-Regular, Menlo, Monaco, Consolas, "]UART_FLAG_RXNE和[/font][/backcolor][/color][color=rgb(67, 79, 84)][backcolor=rgb(255, 255, 255)][font=SFMono-Regular, Menlo, Monaco, Consolas, "]UART_FLAG_ORE这两个标志位,[/font][/backcolor][/color][color=rgb(67, 79, 84)][backcolor=rgb(255, 255, 255)][font=SFMono-Regular, Menlo, Monaco, Consolas, "]但是华大的hc32l136芯片比较低级[/font][/backcolor][/color][color=rgb(67, 79, 84)][font=SFMono-Regular, Menlo, Monaco, Consolas, "],它的串口状态寄存器里[/font][/color][color=rgb(67, 79, 84)][font=SFMono-Regular, Menlo, Monaco, Consolas, "]没有这两个标志位。[/font][/color][color=rgb(67, 79, 84)][backcolor=rgb(255, 255, 255)][font=SFMono-Regular, Menlo, Monaco, Consolas, "](说低级不是贬低华大[/font][/backcolor][/color][color=rgb(67, 79, 84)][backcolor=rgb(255, 255, 255)][font=SFMono-Regular, Menlo, Monaco, Consolas, "]芯片,华大比较高级的芯片如hc32f460的串口状态寄存器有这两个标志位)[/font][/backcolor][/color][color=rgb(67, 79, 84)][backcolor=rgb(255, 255, 255)][font=SFMono-Regular, Menlo, Monaco, Consolas, "],那移植rt-thread nano[/font][/backcolor][/color][color=rgb(67, 79, 84)][backcolor=rgb(255, 255, 255)][font=SFMono-Regular, Menlo, Monaco, Consolas, "]时这个[/font][/backcolor][/color]rt_hw_console_getchar函数应该怎么编写?

    AN RT-Thread 移植 开发板 hc32l136

    1223浏览量 14回复量 关注量
  • 有遇到 LSM6DSM 在 ST-Link 连接时不能用的人吗?

    如果使用 ST-Link 连接,程序初始化时会在 LSM6DSM_ACC_GYRO_driver_HL.c 文件中 static DrvStatusTypeDef LSM6DSM_Check_WhoAmI( DrvContextTypeDef *handle ) { //... if ( who_am_i != handle->who_am_i ) 这里出错。宏定义写的是 #define LSM6DSM_ACC_GYRO_WHO_AM_I 0x6A 然而 LSM6DSM_Get_WhoAmI( handle, &who_am_i ) 读到的是 0x4A。 拔掉 ST-Link 就能正常初始化。 如果把宏定义强行改为 0x4A ,ST-Link下能够使用,但是仅用USB供电时又启动不了了。 这是什么情况

    ST-LINK AN AC typedef ic

    461浏览量 3回复量 关注量
123下一页