打印

请大家帮忙看看这段VHDL哪里有问题?

[复制链接]
1555|6
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
sdnd2000|  楼主 | 2007-5-4 16:35 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
小弟是初学者,才写的1位全加器的代码,用MAX+plus的,老是报这样的错:node'\mux21a:u2\:23.in1' missing source, 麻烦大家帮忙看看
,谢谢!
library ieee;
use ieee.std_logic_1164.all;
entity muxk is 
port (a1,a2,a3,s0,s1: in std_logic;
               outy : out std_logic);
end entity muxk;
architecture a of muxk is 
component mux21a
port ( a,b,s: in std_logic;
           y: out std_logic);
end component ;
signal tmp: std_logic;
begin
u1: mux21a port map ( a=>a2, b=>a3,s=>s0);
u2: mux21a port map ( a=>a1, b=>tmp, s=>s1,y=>outy);
end architecture a;

library ieee;
use ieee.std_logic_1164.all;
entity mux21a is
port(a,b : in  std_logic;
        s: in std_logic;
        y: out std_logic);
end mux21a ;
architecture b_mux21a of mux21a is
begin
  y<=(a and (not s)) or (b and s);
end b_mux21a;

library ieee;
use ieee.std_logic_1164.all;
entity mux21a is
port(a,b : in  std_logic;
        s: in std_logic;
        y: out std_logic);
end mux21a ;
architecture b_mux21a of mux21a is
begin
  process (a,b,s)
begin
if s='0' then y<=a;
else y<=b;
end if;
end process;
end b_mux21a;

相关帖子

沙发
zjy32508wj| | 2007-5-6 20:35 | 只看该作者

你调用的两个模块都取名为mux21a,应该不行吧

你调用的两个模块都取名为mux21a,应该不行吧

使用特权

评论回复
板凳
sdnd2000|  楼主 | 2007-5-6 21:05 | 只看该作者

改了名字,也报同样的错啊

改了名字,也报同样的错啊

使用特权

评论回复
地板
xqhe| | 2007-5-7 11:03 | 只看该作者

随便说说

         这个也叫全加器吗?怎么偶怎么看都象是错误的三算一器件?惭愧,!

使用特权

评论回复
5
joy1zhou| | 2007-5-7 17:52 | 只看该作者

end architecture a;

end architecture a;

使用特权

评论回复
6
sibaidong| | 2007-5-8 09:49 | 只看该作者

元件例化语句没有写好

    你调用的是两个模块,而你却例化了一个模块的端口,第二模的端口找不到当然就出错了哦
    还有,两个模块的名字最好不要相同,加以区分可读性也增强了!
    

使用特权

评论回复
7
sdnd2000|  楼主 | 2007-5-8 22:53 | 只看该作者

谢谢大家

谢谢大家

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

13

主题

17

帖子

0

粉丝