用VHDL写的8位比较器,麻烦大家帮小弟找找错误,用MAXPLUS2编译的,好像应该是数据类型不对吧,但我不会改,大家看看吧<br /><br />library ieee;<br />use ieee.std_logic_1164.all;<br />use ieee.std_logic_unsigned.all;<br />entity compare is<br />port( a,b: in std_logic_vector( 7 downto 0);<br /> d,e,f: out integer range 1 downto 0);<br />end entity compare;<br /><br />architecture behave of compare is<br />begin<br />process(a,b)<br />variable m: integer range 7 downto 0;<br />variable n: integer range 7 downto 0;<br />begin<br /> m:= conv_integer(a);<br /> n:= conv_integer(b);<br />if m=n then d<='1';<br />elsif m>n then e<='1';<br />elsif n>m then f<='1';<br />end if; <br />end process;<br />end architecture behave;<br /> |
|