打印

帮看一下出错的地方怎么修改?

[复制链接]
1364|2
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
雨下枫|  楼主 | 2007-6-13 16:47 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
TE, ST, IO, ic, logic
library ieee;
use ieee.std_logic_1164.all;
entity Counter is
port(CLK: in std_logic;
     result: inout std_logic_vector(7 downto 0));
end entity;
architecture behavioral of Counter is
signal temp: std_logic_vector(7 downto 0);
begin
    process(CLK)
    begin
        if(CLK'event and CLK = '1') then temp <= temp + '1';--Error (10327): VHDL error at Counter.vhd(12): can't determine definition of operator ""+"" -- found 0 possible definitions
        end if;
        result <= temp;
    end process;
end behavioral;

相关帖子

沙发
雨下枫|  楼主 | 2007-6-13 18:54 | 只看该作者

版主们上哪了?

怎么发了那么久,没一个人回复呢?本人在等待呢!

使用特权

评论回复
板凳
雨下枫|  楼主 | 2007-6-13 20:38 | 只看该作者

OK

问题解决了!忘了加use ieee.std.logic_unsigned.all

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

212

主题

466

帖子

3

粉丝