我用VHDL写个4选1多路选择器,用MAX+PLUS的,老是报错,大家帮我看看呀。谢谢大家。<br /><br />library ieee;<br />use ieee.std_logic_1164.all;<br />entity mux41 is<br />port ( s1,s0,a,b,c,d: in std_logic;<br /> y: out std_logic);<br />end entity mux41;<br />architecture one of mux41 is<br /> signal H1,H0: std_logic_vector(1 to 0);<br />begin <br /> process (H1,H0)<br />begin<br />if H1='0' and H0='0' then y <= a;<br />end if;<br />if H1='0' and H0='1' then y <= b;<br />end if;<br />if H1='1' and H0='0' then y <= c;<br />end if;<br />if H1='1' and H0='1' then y <= d;<br />end if ;<br />end process;<br />end architecture one;<br /><br /> <br /> |
|