打印

VHDL-优先级语句中的前后赋值

[复制链接]
1971|0
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
xyuding|  楼主 | 2007-12-18 11:28 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
VHDL, se, RS, tca, tc
Hi,各位高手高手之高高手。
兄弟最近学习一位强人的代码,有如下片断。
原意是根据case_out产生一个时钟宽度的使能信号,软件仿真当然没问题啦。
只不过,这种利用优先级语句中的前后赋值,在最后的硬件实现中会不会产生不可预知的状态。
欢迎讨论。
--------------------------------------------
process(osc_50,hrst_n)
begin
    if hrst_n='0' then
        case_out<=(others=>'0');
    elsif rising_edge(osc_50) then
          case_out<=(others=>'0');
          case case_in is
          when "0000" =>case_out(0)<='1';
          when "0001" =>case_out(1)<='1';
          when "0010" =>case_out(2)<='1';
          when "0011" =>case_out(3)<='1';
          when others=> null;
          end case;
    end if;
end process;
--------------------------------------------

相关帖子

发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

2

主题

6

帖子

0

粉丝