打印

VHDL FPGA 编译 运行 出错

[复制链接]
1943|2
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
a789|  楼主 | 2009-9-29 10:27 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
原代码如下:
library ieee;
use ieee.std_logic_1164.all;
----------------------------
entity ands is
port(a,aa: in std_logic;
    b,bb: in std_logic;
    c:out std_logic
   );
end entity;
--************************--
architecture one of ands is
begin
  process(a,b,aa,bb)
  begin
    if((aa='1')or(bb='1'and bb'event))then
    c<=a or b;
    end if;
  end process;
end architecture;
--******************
----*****************
出错信息如下:
Error (10626): VHDL error at ands.vhd(17): can't implement clock enable condition specified using binary operator "or"
Error (10658): VHDL Operator error at ands.vhd(17): failed to evaluate call to operator ""or""
Error: Can't elaborate top-level user hierarchy
Error: Quartus II Analysis & Elaboration was unsuccessful. 3 errors, 0 warnings
Error: Peak virtual memory: 170 megabytes
Error: Processing ended: Tue Sep 29 10:02:32 2009
Error: Elapsed time: 00:00:03
Error: Total CPU time (on all processors): 00:00:01

相关帖子

沙发
a789|  楼主 | 2009-9-29 10:31 | 只看该作者
port(a,aa: in std_logic;
        b,bb: in std_logic;
              c:out std_logic
         );
原代码是这样
谢谢各位的帮助!
569503438@qq.com

使用特权

评论回复
板凳
a789|  楼主 | 2009-9-29 10:35 | 只看该作者
我发出去的
出错只有前四行,怎么又多了后四行呢,还有端口的表情,怎么都是自动加上去的?

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

1

主题

3

帖子

1

粉丝