[matlab]

ISE与EDK联合设计报错 ERROR:NgdBuild:604 - logical block

[复制链接]
11464|6
手机看帖
扫描二维码
随时随地手机跟帖
LIU_XF|  楼主 | 2010-12-28 16:58 | 显示全部楼层 |阅读模式
做一个很简单的测试

在ISE的SCH里调用EDK的symbol,EDK的功能也很简单,就是CPU通过串品打印一串字符

首先,我建一个ISE工程
再建一source  sch类型,并设置为顶层,取名为top.sch
再建一source  类型为Embedded processor,取名为mycpu
然后EDK自动打开,我根据向导添加外设,设置时钟,直到finish
然后在edk下generate netlist, generate lib and bsp,builder all user app。
再回到ISE下,选中mycpu, create schematic symbol,并将该symbol添加到top.sch中
再添加端口,约束管脚
最后综合,生成bit

但到Translate 时,就通不过了

报如下错误

不知是何故,网上搜了很久,还是未解决

软件为12.2版本

Processing BMM file "edkBmmFile.bmm" ...
ERROR:NgdBuild:989 - Failed to process BMM information edkBmmFile.bmm
Checking expanded design ...
ERROR:NgdBuild:604 - logical block 'microblaze_0/proc_sys_reset_0' with type
   'proc_sys_reset_0_wrapper' could not be resolved. A pin name misspelling can
   cause this, a missing edif or ngc file, case mismatch between the block name
   and the edif or ngc file name, or the misspelling of a type name. Symbol
   'proc_sys_reset_0_wrapper' is not supported in target 'spartan3a'.
ERROR:NgdBuild:604 - logical block 'microblaze_0/mdm_0' with type
   'mdm_0_wrapper' could not be resolved. A pin name misspelling can cause this,
   a missing edif or ngc file, case mismatch between the block name and the edif
   or ngc file name, or the misspelling of a type name. Symbol 'mdm_0_wrapper'
   is not supported in target 'spartan3a'.
ERROR:NgdBuild:604 - logical block 'microblaze_0/clock_generator_0' with type
   'clock_generator_0_wrapper' could not be resolved. A pin name misspelling can
   cause this, a missing edif or ngc file, case mismatch between the block name
   and the edif or ngc file name, or the misspelling of a type name. Symbol
   'clock_generator_0_wrapper' is not supported in target 'spartan3a'.
ERROR:NgdBuild:604 - logical block 'microblaze_0/RS232' with type
   'rs232_wrapper' could not be resolved. A pin name misspelling can cause this,
   a missing edif or ngc file, case mismatch between the block name and the edif
   or ngc file name, or the misspelling of a type name. Symbol 'rs232_wrapper'
   is not supported in target 'spartan3a'.
ERROR:NgdBuild:604 - logical block 'microblaze_0/lmb_bram' with type
   'lmb_bram_wrapper' could not be resolved. A pin name misspelling can cause
   this, a missing edif or ngc file, case mismatch between the block name and
   the edif or ngc file name, or the misspelling of a type name. Symbol
   'lmb_bram_wrapper' is not supported in target 'spartan3a'.
ERROR:NgdBuild:604 - logical block 'microblaze_0/ilmb_cntlr' with type
   'ilmb_cntlr_wrapper' could not be resolved. A pin name misspelling can cause
   this, a missing edif or ngc file, case mismatch between the block name and
   the edif or ngc file name, or the misspelling of a type name. Symbol
   'ilmb_cntlr_wrapper' is not supported in target 'spartan3a'.
ERROR:NgdBuild:604 - logical block 'microblaze_0/dlmb_cntlr' with type
   'dlmb_cntlr_wrapper' could not be resolved. A pin name misspelling can cause
   this, a missing edif or ngc file, case mismatch between the block name and
   the edif or ngc file name, or the misspelling of a type name. Symbol
   'dlmb_cntlr_wrapper' is not supported in target 'spartan3a'.
ERROR:NgdBuild:604 - logical block 'microblaze_0/dlmb' with type 'dlmb_wrapper'
   could not be resolved. A pin name misspelling can cause this, a missing edif
   or ngc file, case mismatch between the block name and the edif or ngc file
   name, or the misspelling of a type name. Symbol 'dlmb_wrapper' is not
   supported in target 'spartan3a'.
ERROR:NgdBuild:604 - logical block 'microblaze_0/ilmb' with type 'ilmb_wrapper'
   could not be resolved. A pin name misspelling can cause this, a missing edif
   or ngc file, case mismatch between the block name and the edif or ngc file
   name, or the misspelling of a type name. Symbol 'ilmb_wrapper' is not
   supported in target 'spartan3a'.
ERROR:NgdBuild:604 - logical block 'microblaze_0/mb_plb' with type
   'mb_plb_wrapper' could not be resolved. A pin name misspelling can cause
   this, a missing edif or ngc file, case mismatch between the block name and
   the edif or ngc file name, or the misspelling of a type name. Symbol
   'mb_plb_wrapper' is not supported in target 'spartan3a'.
ERROR:NgdBuild:604 - logical block 'microblaze_0/microblaze_0' with type
   'microblaze_0_wrapper' could not be resolved. A pin name misspelling can
   cause this, a missing edif or ngc file, case mismatch between the block name
   and the edif or ngc file name, or the misspelling of a type name. Symbol
   'microblaze_0_wrapper' is not supported in target 'spartan3a'.
Partition Implementation Status
-------------------------------
  No Partitions were found in this design.
-------------------------------
NGDBUILD Design Results Summary:
  Number of errors:    12
  Number of warnings:   0
Total REAL time to NGDBUILD completion:  3 sec
Total CPU time to NGDBUILD completion:   3 sec
One or more errors were found during NGDBUILD.  No NGD file will be written.
Writing NGDBUILD log file "top.bld"...
Process "Translate" failed


工程 iseedk_test.rar (1.55 MB)

相关帖子

TOTO无烦忧| | 2010-12-28 17:15 | 显示全部楼层
ISE中ngdbuild的属性"-sd: Macro search Path" 中加上EDK工程的implementation子目录的路径。
可以用相对路径。

使用特权

评论回复
评分
参与人数 1威望 +6 收起 理由
SuperX-man + 6
SuperX-man| | 2010-12-28 17:16 | 显示全部楼层
阿!!!LZ, 您中招了,呵呵.
小版认为这算是软件的一个BUG ,官方有一个相关的解释.
您试试看
http://www.xilinx.com/support/answers/38262.htm

使用特权

评论回复
LIU_XF|  楼主 | 2010-12-28 22:07 | 显示全部楼层
本帖最后由 LIU_XF 于 2010-12-28 22:12 编辑

呵呵,正如版主所言

多谢版主,

按照官方给出的解决方法
加上(* box_type = "user_black_box" *)
就好了,但不明白这句话的意思

版主能不能解释一下,
我看到EDK  HDL文件夹下生成的mycpu.v里的每个module前面都有一行这个

使用特权

评论回复
SuperX-man| | 2010-12-28 22:16 | 显示全部楼层
这应该算是软件的BUG.
你知道我们调用的IP核的内部结构都是保密的,也就是BLACK BOX
加了这一句,也就是指向了你导入的那些东西.
不加的话,指向性就不明确了,所以报错说找不到.
小版个人感觉认为这算是软件的BUG,因为既然你已经导入了,就应该自动添加指向的.
估计在更高的版本会修正吧

使用特权

评论回复
LIU_XF|  楼主 | 2010-12-28 22:29 | 显示全部楼层
本帖最后由 LIU_XF 于 2010-12-29 08:37 编辑

2楼的方法也可行,我试过了

在processes栏下,选中Translate,右键process propreties.....

弹出Translate Properties对话框,

在-sd  macro search path 中加上EDK工程的implementation子目录的路径

就可以了

看来还是找不到NGC文件的问题

谢谢TOTO无烦忧

坛子里的高手还是很多的

哈哈

使用特权

评论回复
742536574| | 2015-10-22 16:59 | 显示全部楼层
如何添加 EDK工程的implementation子目录的路径呢

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

个人签名:无

68

主题

372

帖子

3

粉丝