1、+acc完全可见
2、vopt +acc test -o opt_test 优化模块 将test模块优化为opt_test模块
3、add wave sim\test\*
添加所有信号到波形文件 test为module名字
4、add wave \*
5、add wave *
6、vsim -help 在Modelsim下面的命令行中输入即可查看vsim 的命令
7、vlog是用来编译Verilog文件的
vcom是用来编译VHDL文件的
8、 windows 下动态库为.dll 静态库为.lib
Linux 下动态库为.so
9、先用vcd2wlf xx.vcd xx.wlf 命令转换,然后就可以用modelsim打开了。
10 、vsim -view wave.wlf -do run.do
11、vsim-lib <libname>
Load top-level design units from <libname>
(Default: work)
12、vsim -c
Command line mode
命令行模式,不启动图形界面(即不启动modelsim软件)。比较节省仿真时间
13、vsim -do "<command>"
Execute <command> on startup; <command> can be
a macro filename
如:vsim -do "run -all; quit -f"
vsim -do
"cmd" 运行cmd
14、vsim -l <filename>
Write simulation log to <filename>
(Default: transcript)
如:vsim -l vsim.log 将日志存放到vsim.log文件中
15、vsim top 开始仿真,top为最顶层模块的名字(常为testbench中模块的名字,module top;而不是testbench文件的名字,top.v)
vsim top
就等于vsim -lib work work.top
16、vsim -novopt优化
-novopt
:使用vopt表示进行设计优化,使用使用novopt表示不进行设计优化,常和+acc配合使用,参见
设计优化包括:
不优化:完全不进行设计优化,所有信号可见,但仿真速度较慢(小工程也无所谓了)
优化,信号不可见:进行设计优化,但信号都不可见,速度最快。调试完全依赖于testbench的打印输出。
优化,具有完全可见性:进行设计优化,但又保证所有信号可见,速度较快,调试必备。
优化,自定义可见性:进行设计优化,自定义哪些模块及信号可见。
设计优化功能是用来提高仿真速度。对于小工程,可以选择不优化或者选择优化,完全可见性(full visibility)。
了解了以上概念之后,下面就介绍 "开始仿真+设计优化选择"的两种方式(实际还有更多)
方法一(这个文档上的):命令行
vopt +acc test_counter -o testcounter_opt(使用vopt表示进行设计优化,+acc表示完全可见,-o testcounter_opt表示把优化结果输出为新的名字。)
vsim testcounter_opt
(vsim表示开始仿真,名字选择了优化之后的结果)
方法二 (菜单操作)
菜单Simulate->Start Simulation,在对话框中,work下选中顶层仿真文件,下部是设计优化的选择,去掉"Enable optimization"表示不进行设计优化;或者选择"Optimization Options",又打开了一个对话框,选择"Apply full visibility to all modules",这表示优化并且完全可见。然后两个对话框都点ok即可。
-voptargs="+acc"
17、-sv_lib <shared_obj>
DPI shared object, without extension
18、 -dpiexportobj <filename> Generate specified DPI export object file then quit
如vsim -c top -dpiexportobj sv_exports生成sv_exports.obj
19、-dpiheader <filename>
Generate specified DPI C header file
20、-L 加载所需要的仿真库文件。比如modelsim.ini里制定库文件映射的目录后,要想真正加载到仿真库里,就需要在vsim时使用 ,例如vsim -L unisims work.top
vsim -L d:\Xilinx\12.4\ISE_DS\ISE\verilog\mti_se\6.5e\nt\unisims_ver
21、vsim
-plireadpixfromfile.dll
加载pli
22 、-coverage 收集覆盖率信息
23、-quiet 关闭loading的信息
24、-t <ps or ns or others>定义仿真时间精度
25、vlog -vopt test.v +incdir+<install_dir>自动搜索制定目录下的文件即在install_dir目录下搜索test.v,当然也在工程目录下搜索
26、vlog -sv tets.sv编译SV文件
27、vlog -dpiheader <filename>
#
Save the generated declarations of SystemVerilog DPI
#
tasks and functions into <filename>
如:vlog -sv -dpiheader ../../h264dpi/h264dpi.h top.sv testbench.sv 生成h264dpi.h
与 vsim -dpiheader <filename> 相同,但使用vsim时,*.sv文件必须已经编译好(即vlog *.sv)。
28、vsim -gui
Open the GUI without loading a design
Vsim 默认为gui模式 打开modelsim软件
Vsim -c 为命令行模式 不打开modelsim软件 而是只显示命令行
29、vsim -sv_lib h264dpi
h264dpi.dll
不加后缀
|